2023年全國碩士研究生考試考研英語一試題真題(含答案詳解+作文范文)_第1頁
已閱讀1頁,還剩26頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、<p><b>  交通與汽車工程學(xué)院</b></p><p><b>  課程設(shè)計(jì)說明書</b></p><p>  課 程 名 稱: 微機(jī)原理及應(yīng)用課程設(shè)計(jì) </p><p>  課 程 代 碼: 8 2 3 4 5 7 0

2、 </p><p>  題 目: 4x4矩陣鍵盤與LED燈輸出設(shè)計(jì) </p><p>  年級(jí)/專業(yè)/班: 09/車輛工程/ 汽電(2)班 </p><p>  學(xué) 生 姓

3、 名: 李 淵 澤 </p><p>  學(xué)   號(hào): 312009110203438 </p><p>  開 始 時(shí) 間: 2012 年 07 月 02 日</p><p> 

4、 完 成 時(shí) 間: 2012 年 07 月 06 日</p><p><b>  課程設(shè)計(jì)成績:</b></p><p>  指導(dǎo)教師簽名: 年 月 日</p><p><b>  目錄</b></p><p><b>  摘

5、 要 2</b></p><p>  1.1 問題的提出 3 </p><p>  1.2任務(wù)與分析 4 </p><p>  2 系統(tǒng)方案設(shè)計(jì) 4</p><p>  2.2 系統(tǒng)總體框圖 5 </p><p>  3 系統(tǒng)硬件電路設(shè)計(jì)5</p><p>  3.1 8

6、9C52單片機(jī)5 </p><p>  3.2 時(shí)鐘電路8 </p><p>  3.3 復(fù)位電路8 </p><p>  3.4 矩陣式鍵盤電路 9 </p><p>  3.5 譯碼顯示電路 11 </p><p>  4 系統(tǒng)軟件設(shè)計(jì) 13 </p><p>  4.1 pro

7、teus軟件環(huán)境介紹 13 </p><p>  4.2 Protel軟件環(huán)境介紹14 </p><p>  ·4.3 系統(tǒng)軟件分析 14 </p><p>  4.4 程序流程圖 15 </p><p>  5 系統(tǒng)調(diào)試過程 18 </p><p><b>  結(jié) 論 21 <

8、/b></p><p><b>  致 謝 22 </b></p><p><b>  參考文獻(xiàn)23</b></p><p><b>  附錄124 </b></p><p><b>  附錄2 27</b></p><

9、p><b>  摘 要</b></p><p>  矩陣式鍵盤乃是當(dāng)今使用最為廣泛的鍵盤模式,該系統(tǒng)以N個(gè)端口連接控制N*N個(gè)按鍵,即時(shí)在LED數(shù)碼管上。單片機(jī)控制的據(jù)這是鍵盤顯示系統(tǒng),該系統(tǒng)可以對(duì)不同的按鍵進(jìn)行實(shí)時(shí)顯示,其核心是單片機(jī)和鍵盤矩陣電路部分,主要對(duì)按鍵與顯示電路的關(guān)系、矩陣式技術(shù)及設(shè)備系統(tǒng)的硬件、軟件等各個(gè)部分進(jìn)行實(shí)現(xiàn)。</p><p>  矩

10、陣式鍵盤模式以N個(gè)端口連接控制N*N個(gè)按鍵,實(shí)時(shí)在LED數(shù)碼管上顯示按鍵信息。顯示按鍵信息,既降低了成本,又提高了精確度,省下了很多的I/O端口為他用,相反,獨(dú)立式按鍵雖編程簡單,但占用I/O口資源較多,不適合在按鍵較多的場合應(yīng)用。并且在實(shí)際應(yīng)用中經(jīng)常要用到輸入數(shù)字、字母、符號(hào)等操作功能,如電子密碼鎖、電話機(jī)鍵盤、計(jì)算器按鍵等,至少都需要12到16個(gè)按鍵,在這種情況下如果用獨(dú)立式按鍵的話,顯然太浪費(fèi)I/O端口資源,為了解決這一問題,我們

11、使用矩陣式鍵盤。</p><p>  4*4矩陣式鍵盤采用89C52單片機(jī)為核心,主要由矩陣式鍵盤電路、譯碼電路、顯示電路等組成,軟件選用匯編語言編程。單片機(jī)將檢測到的按鍵信號(hào)轉(zhuǎn)換成數(shù)字量,顯示于LED燈上。該系統(tǒng)靈活性強(qiáng),易于操作,可靠性高,將會(huì)有更廣闊的開發(fā)前景。</p><p>  關(guān)鍵詞: 89C52單片機(jī) 4*4矩陣 LED燈</p><p>&

12、lt;b>  1 引 言 </b></p><p>  1.1 問題的提出 </p><p>  矩陣式鍵盤模式以N個(gè)端口連接控制N*N個(gè)按鍵,實(shí)時(shí)在LED數(shù)碼管上顯示按鍵信息。顯示按鍵信息,既降低了成本,又提高了精確度,省下了很多的I/O端口為他用,相反,獨(dú)立式按鍵雖編程簡單,但占用I/O口資源較多,不適合在按鍵較多的場合應(yīng)用。并且在實(shí)際應(yīng)用中經(jīng)常要用到輸入數(shù)字、

13、字母、符號(hào)等操作功能,如電子密碼鎖、電話機(jī)鍵盤、計(jì)算器按鍵等,至少都需要12到16個(gè)按鍵,在這種情況下如果用獨(dú)立式按鍵的話,顯然太浪費(fèi)I/O端口資源,為了解決這一問題,我們使用矩陣式鍵盤。</p><p><b>  矩陣式鍵盤簡介:</b></p><p>  矩陣式鍵盤又稱行列鍵盤,它是用N條I/O線作為行線,N條I/O線作為列線組成的鍵盤。在行線和列線的每個(gè)交叉

14、點(diǎn)上設(shè)置一個(gè)按鍵。這樣鍵盤上按鍵的個(gè)數(shù)就為N*N個(gè)。這種行列式鍵盤結(jié)構(gòu)能有效地提高單片機(jī)系統(tǒng)中I/O口的利用率。</p><p>  最常見的鍵盤布局如圖所示。一般由16個(gè)按鍵組成,在單片機(jī)中正好可以用一個(gè)P口實(shí)現(xiàn)16個(gè)按鍵功能,這也是在單片機(jī)系統(tǒng)中最常用的形式,本設(shè)計(jì)就采用這個(gè)鍵盤模式。</p><p>  圖1-1 矩陣式鍵盤的按鈕</p><p><b

15、>  1.2任務(wù)與分析 </b></p><p>  本次設(shè)計(jì)的系統(tǒng)的控制中心是89C52單片機(jī)。首先,在Protel軟件環(huán)境中進(jìn)行硬件電路圖的設(shè)計(jì)。然后在8052軟件環(huán)境中進(jìn)行系統(tǒng)的軟件編程,并進(jìn)行程序源文件的編譯和調(diào)試,最后生成.hex文件。此.hex文件是硬件電路運(yùn)行實(shí)現(xiàn)的源代碼來源。把.hex文件加載到AT89C52單片機(jī)芯片,然后在Proteus軟件環(huán)境中運(yùn)行硬件電路,LED燈就可以正

16、常顯示了。 </p><p>  本設(shè)計(jì)的系統(tǒng)主要由:AT89C52為中央處理芯片,用于數(shù)據(jù)處理,初值設(shè)定。矩陣鍵盤電路是本設(shè)計(jì)的核心模塊,由他提供輸入信息并由LED燈顯示,用C語言進(jìn)行編程。本系統(tǒng)可以分為以下4大模塊:</p><p>  1、AT89C52模塊:用于數(shù)據(jù)處理,和矩陣電路通信,并控制信息傳輸過程,采集輸入信息并予以處理。</p><p>  2、矩

17、陣電路 模塊:實(shí)時(shí)輸入可以通過軟件編程來實(shí)現(xiàn),這種方法需要編制的程序比較簡單。</p><p>  3、LED顯示模塊:顯示模塊采用普通的LED數(shù)碼管,此模塊用于實(shí)時(shí)的顯示輸入信息。</p><p>  4、程序:包括單片機(jī)控制電路的接口程序(實(shí)現(xiàn)單片機(jī)和矩陣電路及LED燈之間的數(shù)據(jù)傳輸過程)和顯示程序。</p><p><b>  2 系統(tǒng)方案設(shè)計(jì)<

18、;/b></p><p>  2.1 系統(tǒng)設(shè)計(jì)方案</p><p>  通過查閱相關(guān)資料,設(shè)計(jì)初期共有2個(gè)方案供我選擇,分別是:(1)采用89C2051單片機(jī)組成的系統(tǒng);(2)采用89C52單片機(jī)組成的系統(tǒng)。</p><p>  (1)采用89C2051單片機(jī)組成的系統(tǒng)</p><p>  此系統(tǒng)的硬件部分主要是由89C2051單片機(jī)所

19、組成。該系統(tǒng)硬件結(jié)構(gòu)簡單,但是單片機(jī)的I/O接口太少,不足以實(shí)現(xiàn)多種功能,軟件設(shè)計(jì)部分,程序冗長而復(fù)雜,易產(chǎn)生混淆。</p><p> ?。?)采用89C52單片機(jī)組成的系統(tǒng)</p><p>  這個(gè)方案采用89C52單片機(jī),LED顯示器,4*4鍵盤來組成系統(tǒng)的硬件。8052是8051的強(qiáng)化版,接口多于8051,功能穩(wěn)定,實(shí)用性強(qiáng)。</p><p>  所以,本次設(shè)

20、計(jì)選用AT89C52單片機(jī)組成的系統(tǒng)。</p><p>  2.2 系統(tǒng)總體框圖</p><p>  圖2-1 系統(tǒng)總體框圖</p><p>  當(dāng)程序啟動(dòng)后,程序進(jìn)入初始化階段。單片機(jī)將從程序中鍵盤按鈕初始化,得到對(duì)應(yīng)的值。再反饋給LED燈,輸入值是多少,就亮多少個(gè)燈。</p><p>  3 系統(tǒng)硬件電路設(shè)計(jì)</p><

21、;p>  3.1 89C52單片機(jī)</p><p>  AT89C52是一種帶4K字節(jié)閃爍可編程可擦除只讀存儲(chǔ)器(FPEROM—Falsh Programmable and Erasable Read Only Memory)的低電壓,高性能CMOS 8位微處理器,俗稱單片機(jī)。AT89C2051是一種帶2K字節(jié)閃爍可編程可擦除只讀存儲(chǔ)器的單片機(jī)。單片機(jī)的可擦除只讀存儲(chǔ)器可以反復(fù)擦除100次。該器件采用ATM

22、EL高密度非易失存儲(chǔ)器制造技術(shù)制造,與工業(yè)標(biāo)準(zhǔn)的MCS-51指令集和輸出管腳相兼容。由于將多功能8位CPU和閃爍存儲(chǔ)器組合在單個(gè)芯片中,ATMEL的AT89C51是一種高效微控制器,AT89C52是它的一種強(qiáng)化版本。AT89C52單片機(jī)為很多嵌入式控制系統(tǒng)提供了一種靈活性高且價(jià)廉的方案。</p><p>  圖3-1 89C52單片機(jī)引腳圖</p><p>  89C52單片機(jī)與早期Int

23、el的8051/8751/8031芯片的外部引腳和指令系統(tǒng)完全兼容,只不過用Flash ROM 替代了ROM/EPROM而已[3]。89C52單片機(jī)內(nèi)部結(jié)構(gòu)如圖所示。</p><p>  圖3-2 89C52單片機(jī)內(nèi)部結(jié)構(gòu)示意圖</p><p><b>  各引腳的功能如下:</b></p><p><b>  VCC:供電電壓。&l

24、t;/b></p><p><b>  GND:接地。</b></p><p>  P0口:P0口為一個(gè)8位漏級(jí)開路雙向I/O口,每腳可吸收8TTL門電流。當(dāng)P1口的管腳第一次寫1時(shí),被定義為高阻輸入。P0能夠用于外部程序數(shù)據(jù)存儲(chǔ)器,它可以被定義為數(shù)據(jù)/地址的第八位。在FIASH編程時(shí),P0 口作為原碼輸入口,當(dāng)FIASH進(jìn)行校驗(yàn)時(shí),P0輸出原碼,此時(shí)P0外部必

25、須被拉高[3]。</p><p>  P1口:P1口是一個(gè)內(nèi)部提供上拉電阻的8位雙向I/O口,P1口緩沖器能接收輸出4TTL門電流。P1口管腳寫入1后,被內(nèi)部上拉為高,可用作輸入,P1口被外部下拉為低電平時(shí),將輸出電流,這是由于內(nèi)部上拉的緣故。在FLASH編程和校驗(yàn)時(shí),P1口作為第八位地址接收。 </p><p>  P2口:P2口為一個(gè)內(nèi)部上拉電阻的8位雙向I/O口,P2口緩沖器可接收,

26、輸出4個(gè)TTL門電流,當(dāng)P2口被寫“1”時(shí),其管腳被內(nèi)部上拉電阻拉高,且作為輸入。并因此作為輸入時(shí),P2口的管腳被外部拉低,將輸出電流。這是由于內(nèi)部上拉的緣故。P2口當(dāng)用于外部程序存儲(chǔ)器或16位地址外部數(shù)據(jù)存儲(chǔ)器進(jìn)行存取時(shí),P2口輸出地址的高八位。在給出地址“1”時(shí),它利用內(nèi)部上拉優(yōu)勢,當(dāng)對(duì)外部八位地址數(shù)據(jù)存儲(chǔ)器進(jìn)行讀寫時(shí),P2口輸出其特殊功能寄存器的內(nèi)容。P2口在FLASH編程和校驗(yàn)時(shí)接收高八位地址信號(hào)和控制信號(hào)。</p>

27、<p>  P3口:P3口管腳是8個(gè)帶內(nèi)部上拉電阻的雙向I/O口,可接收輸出4個(gè)TTL門電流。當(dāng)P3口寫入“1”后,它們被內(nèi)部上拉為高電平,并用作輸入。作為輸入,由于外部下拉為低電平,P3口將輸出電流(ILL)這是由于上拉的緣故。</p><p>  RST:復(fù)位輸入。當(dāng)振蕩器復(fù)位器件時(shí),要保持RST腳兩個(gè)機(jī)器周期的高電平時(shí)間。</p><p>  ALE/PROG:當(dāng)訪問外

28、部存儲(chǔ)器時(shí),地址鎖存允許的輸出電平用于鎖存地址的地位字節(jié)。在FLASH編程期間,此引腳用于輸入編程脈沖。在平時(shí),ALE端以不變的頻率周期輸出正脈沖信號(hào),此頻率為振蕩器頻率的1/6。因此它可用作對(duì)外部輸出的脈沖或用于定時(shí)目的。然而要注意的是:每當(dāng)用作外部數(shù)據(jù)存儲(chǔ)器時(shí),將跳過一個(gè)ALE脈沖。如想禁止ALE的輸出可在SFR 8EH地址上置0。此時(shí), ALE只有在執(zhí)行MOVX,MOVC指令是ALE才起作用。另外,該引腳被略微拉高。如果微處理器在

29、外部執(zhí)行狀態(tài)ALE禁止,置位無效。</p><p>  PSEN:外部程序存儲(chǔ)器的選通信號(hào)。在由外部程序存儲(chǔ)器取指期間,每個(gè)機(jī)器周期兩次/PSEN有效。但在訪問外部數(shù)據(jù)存儲(chǔ)器時(shí),這兩次有效的/PSEN信號(hào)將不出現(xiàn)。</p><p>  EA:當(dāng)/EA保持低電平時(shí),則在此期間CPU只訪問外部程序存儲(chǔ)器(0000H-FFFFH),不管是否有內(nèi)部程序存儲(chǔ)器。注意加密方式1時(shí),/EA將內(nèi)部鎖定為R

30、ESET;當(dāng)/EA端保持高電平時(shí),則執(zhí)行內(nèi)部程序存儲(chǔ)器中的程序。在FLASH編程期間,此引腳也用于施加12V編程電源(VPP)。</p><p>  XTAL1:反向振蕩放大器的輸入及內(nèi)部時(shí)鐘工作電路的輸入。</p><p>  XTAL2:來自反向振蕩器的輸出。 </p><p>  89C52的主機(jī)系統(tǒng)圖如下所示:</p><p&g

31、t;<b>  3.2 時(shí)鐘電路</b></p><p>  圖3-3中外接晶體以及兩個(gè)電容構(gòu)成并聯(lián)諧振電路,它們起穩(wěn)定振蕩頻率、快速起振的作用,其值為30pF左右,晶振頻率選11.0592MHz</p><p><b>  3.3 復(fù)位電路</b></p><p>  為了初始化單片機(jī)內(nèi)部的某些特殊功能寄存器,必須利用復(fù)

32、位電路,復(fù)位后可使CPU及系統(tǒng)各部件處于確定的初始狀態(tài),并從初始狀態(tài)開始正常工作。</p><p>  單片機(jī)的復(fù)位是靠外電路來實(shí)現(xiàn)的,在正常運(yùn)行情況下,只要RST引腳上出現(xiàn)兩個(gè)機(jī)器周期時(shí)間以上的高電平,即可引起系統(tǒng)復(fù)位,但如果RST引腳上持續(xù)為高電平,單片機(jī)就處于循環(huán)復(fù)位狀態(tài)。復(fù)位后系統(tǒng)將輸入/輸出(1/0)端口寄存器置為FFH,堆棧指針SP置為07H, SBUF內(nèi)置為不定值,其余的寄存器全部清0,內(nèi)部RAM的

33、狀態(tài)不受復(fù)位的影響,在系統(tǒng)上電時(shí)RAM的內(nèi)容是不定的。復(fù)位操作有兩種情況,即上電復(fù)位和手動(dòng)(開關(guān))復(fù)位。本系統(tǒng)采用上電復(fù)位方式。</p><p>  圖3-3 單片機(jī)主機(jī)系統(tǒng)圖</p><p>  3.4 矩陣式鍵盤電路</p><p>  AT89C52單片機(jī)的并行口P1接4×4矩陣鍵盤,以P1.0-P1.3作輸入線,以P1.4-P1.7作輸出線;P

34、1口輸出按鍵信息,在LED燈上顯示相應(yīng)的亮滅數(shù)目。實(shí)際電路圖連接如圖3-4所示。</p><p>  圖3-4 矩陣式鍵盤電路</p><p>  由于鍵盤按鈕的不穩(wěn)定性,所以設(shè)計(jì)時(shí)特別添加了防抖動(dòng)程序,系統(tǒng)可以一延時(shí)程序判定按鍵是否因?yàn)槎秳?dòng)而按下,從而判定是否為有效輸入信號(hào)其流程圖如下所示:</p><p>  圖 3-5 矩陣鍵盤流程圖</p>

35、;<p>  3.5 譯碼顯示電路</p><p>  譯碼電路中常用的顯示器有LED(數(shù)碼管)和LCD(液晶顯示器)。這兩種顯示器都具有線路簡單、耗電少、成本低、壽命長等優(yōu)點(diǎn)。</p><p>  本系統(tǒng)輸出結(jié)果選用16個(gè)LED燈(發(fā)光二極管)顯示。正常顯示時(shí)公共端接低電平(GND),各發(fā)光二極管是否點(diǎn)亮取決于各引腳上是否是高電平。其電路圖如圖3-6所示。</p>

36、;<p>  圖3-6 譯碼顯示電路</p><p>  當(dāng)按鍵0閉合時(shí),亮1個(gè)二極管;</p><p>  當(dāng)按鍵1閉合時(shí),亮2個(gè)二極管;</p><p>  當(dāng)按鍵2閉合時(shí),亮3個(gè)二極管;</p><p>  當(dāng)按鍵3閉合時(shí),亮4個(gè)二極管;</p><p>  當(dāng)按鍵4閉合時(shí),亮5個(gè)二極管;<

37、/p><p>  當(dāng)按鍵5閉合時(shí),亮6個(gè)二極管;</p><p>  當(dāng)按鍵6閉合時(shí),亮7個(gè)二極管;</p><p>  當(dāng)按鍵7閉合時(shí),亮8個(gè)二極管;</p><p>  當(dāng)按鍵8閉合時(shí),亮9個(gè)二極管;</p><p>  當(dāng)按鍵9閉合時(shí),亮10個(gè)二極管;</p><p>  當(dāng)按鍵A閉合時(shí),亮1

38、1個(gè)二極管;</p><p>  當(dāng)按鍵B閉合時(shí),亮12個(gè)二極管;</p><p>  當(dāng)按鍵C閉合時(shí),亮13個(gè)二極管;</p><p>  當(dāng)按鍵D閉合時(shí),亮14個(gè)二極管;</p><p>  當(dāng)按鍵E閉合時(shí),亮15個(gè)二極管;</p><p>  當(dāng)按鍵F閉合時(shí),二極管全亮。</p><p>

39、  譯碼顯示電路的流程圖如下圖(圖3-7)所示:</p><p>  圖3-7 譯碼顯示電路流程圖</p><p><b>  4 系統(tǒng)軟件設(shè)計(jì)</b></p><p>  4.1 proteus軟件環(huán)境介紹</p><p>  本系統(tǒng)的硬件設(shè)計(jì)首先是在Proteus軟件環(huán)境中仿真實(shí)現(xiàn)的。Proteus軟件是來自英國L

40、abcenter electronics公司的EDA工具軟件,Proteus軟件有十多年的歷史,在全球廣泛使用,除了具有和其它EDA工具一樣的原理布圖、PCB自動(dòng)或人工布線及電路仿真的功能外,其革命性的功能是,它的電路仿真是互動(dòng)的。針對(duì)微處理器的應(yīng)用,還可以直接在基于原理圖的虛擬原型上編程,并實(shí)現(xiàn)軟件源碼級(jí)的實(shí)時(shí)調(diào)試。如果有顯示及輸出,配合系統(tǒng)配置的虛擬儀器如示波器、邏輯分析儀等,還能看到運(yùn)行后輸入輸出的效果。Proteus建立了完備的

41、電子設(shè)計(jì)開發(fā)環(huán)境,尤其重要的是Proteus Lite可以完全免費(fèi),也可以花微不足道的費(fèi)用注冊達(dá)到更好的效果[2]。</p><p>  Proteus是目前最好的模擬單片機(jī)外圍器件的工具。可以仿真51系列、AVR、PIC等常用的MCU及其外圍電路(如LCD,RAM,ROM,鍵盤,馬達(dá),LED,AD/DA,部分SPI器件,部分IIC器件...)。其實(shí)Proteus 與 multisim比較類似,只不過它可以仿真M

42、CU,當(dāng)然,軟件仿真精度有限,而且不可能所有的器件都找得到相應(yīng)的仿真模型,用開發(fā)板和仿真器當(dāng)然是最好選擇,可是初學(xué)者擁有它們的可能性比較小。當(dāng)然,硬件實(shí)踐還是必不可少的。在沒有硬件的情況下,Proteus能像pspice 仿真模擬/數(shù)字電路那樣仿真MCU及外圍電路。另外,即使有硬件,在程序編寫早期用軟件仿真一下也是很有必要的。Proteus軟件主要具有以下幾個(gè)方面的特點(diǎn):</p><p>  1、設(shè)計(jì)和仿真軟件P

43、roteus 是一個(gè)很有用的工具,它可以幫助學(xué)生和專業(yè)人士提高他們的模擬和數(shù)字電路的設(shè)計(jì)能力。</p><p>  2、它允許對(duì)電路設(shè)計(jì)采用圖形環(huán)境,在這種環(huán)境中,可以使用一個(gè)特定符號(hào)來代替元器件,并完成不會(huì)對(duì)真實(shí)電路造成任何損害的電路仿真操作。</p><p>  3、它可以仿真儀表以及可描述在仿真過程中所獲得的信號(hào)的圖表。</p><p>  4、它可以仿真目前

44、流行的單片機(jī),如PICS, ATMEL-AVR, MOTOROLA, 8051 等。</p><p>  5、在設(shè)計(jì)綜合性方案中,還可以利用ARES開發(fā)印制電路板。</p><p>  ·4.2 Protel軟件環(huán)境介紹</p><p>  Protel印制板設(shè)計(jì)軟件包是澳大利亞protel technology公司與1990年推出的電子CAD產(chǎn)品,具有方

45、便、易學(xué)、實(shí)用、快速以及高速度、高步通率的特點(diǎn)。它采用了分層次下拉窗口菜單結(jié)構(gòu)形式,用戶基本上不需要記背太多的鍵盤命令,用鼠標(biāo)點(diǎn)擊菜單命令就能操作,protel有著很高的自動(dòng)布線布通率。布通率是電子產(chǎn)CAD產(chǎn)品的一項(xiàng)重要指標(biāo),它反映電子元件在電路圖中連接關(guān)系有多少能在印刷版圖中實(shí)現(xiàn)。在設(shè)計(jì)常用的單、雙面印制板時(shí)只要選擇適當(dāng)?shù)脑季趾筒季€策略方法,protel就可以輕易的達(dá)到98%-100%的布通率。對(duì)于極少數(shù)不能布通的定方,prote

46、l可以用飛線指示出來,引導(dǎo)用戶用手工方法連通。另外,protel有強(qiáng)大的宏命令設(shè)置功能,利用宏命令功能多定義的熱鍵可以大大提高操作速度[1]。</p><p>  Protel對(duì)微機(jī)的軟硬件配置要求很低:cpu在8088以上,dos2.0以上版本,內(nèi)存640kb以上,雙軟件(或一個(gè)軟件一個(gè)硬盤),單色顯示器(多層板設(shè)計(jì)時(shí)最好用彩色),各種兼容打印機(jī)。也能在Windows9X平臺(tái)的模擬DOS下運(yùn)行。</p&g

47、t;<p>  Protel已成為印制板設(shè)計(jì)加工方面的工業(yè)標(biāo)準(zhǔn)。據(jù)初步統(tǒng)計(jì)protel在CAD的市場占有率達(dá) 95%,成為電子產(chǎn)品制造業(yè)界的首選CAD軟件。</p><p>  ·4.3 系統(tǒng)軟件分析 </p><p>  任何一個(gè)應(yīng)用系統(tǒng),它們都有著自己的硬件系統(tǒng)和軟件系統(tǒng),少了任何一個(gè)部分都不可能稱之為一個(gè)完整的應(yīng)用系統(tǒng),它們之間是相互依存的一個(gè)整體,硬件系統(tǒng)是

48、軟件系統(tǒng)的一個(gè)基礎(chǔ)和前提,為軟件系統(tǒng)提供了一個(gè)操作平臺(tái);而軟件系統(tǒng)是硬件系統(tǒng)的靈魂,它對(duì)硬件系統(tǒng)起到擴(kuò)充和完善的作用??上攵浖到y(tǒng)與硬件系統(tǒng)同等重要,下面為系統(tǒng)軟件設(shè)計(jì)過程:</p><p><b> ?。?)程序設(shè)計(jì)過程</b></p><p>  因?yàn)槭褂昧司仃囯娐罚趯懗绦蚴潜仨殞?duì)單片機(jī)的P/I口進(jìn)行初始化,以確定各鍵盤按鈕所代表的信號(hào)。</p>

49、<p><b>  4.4 程序流程圖</b></p><p><b>  N</b></p><p><b>  Y</b></p><p><b>  N</b></p><p><b>  Y</b></p&

50、gt;<p>  圖4-1 主程序流程圖</p><p><b>  主程序流程圖說明:</b></p><p>  因?yàn)槭褂昧司仃囯娐罚恍枰脝纹瑱C(jī)對(duì)每個(gè)按鈕的值進(jìn)行初始化。在首次對(duì)按鈕進(jìn)行操作之前,必須對(duì)它進(jìn)行初始化,然后進(jìn)行按鍵的判斷,如果按下鍵,則轉(zhuǎn)去處理程序,若沒按下鍵,則保持當(dāng)前的狀態(tài)。將正常顯示的LED燈數(shù)目與按下的鍵做對(duì)比,看是否正確。

51、</p><p><b>  5 系統(tǒng)調(diào)試過程</b></p><p>  通過上面的硬件設(shè)計(jì)和軟件設(shè)計(jì)過程,設(shè)計(jì)的工作已經(jīng)基本完成,接下來的工作就是對(duì)所設(shè)計(jì)好的應(yīng)用系統(tǒng)進(jìn)行調(diào)試。通過調(diào)試可以檢查出系統(tǒng)出現(xiàn)的一些錯(cuò)誤,從而進(jìn)行下一步的修改。</p><p> ?。?)在Protel 99 SE中,對(duì)硬件電路圖進(jìn)行ERC電氣規(guī)則檢查。 <

52、/p><p>  圖 5-1 protel原理圖</p><p>  圖5-2 ERC電氣規(guī)則檢查結(jié)果</p><p><b> ?。?)程序調(diào)試</b></p><p>  程序經(jīng)過調(diào)試顯示“0錯(cuò)誤,0警告”。表示程序調(diào)試通過。</p><p>  圖5-3 程序調(diào)試通過示意圖</p&g

53、t;<p> ?。?)protues調(diào)試</p><p>  在進(jìn)行protues調(diào)試前,應(yīng)先用Keil uVision4創(chuàng)建一個(gè)后綴名為“.hex”的文件,創(chuàng)建的流程圖如下所圖示:</p><p>  圖5-4 hex文件生成流程圖</p><p>  圖5-5 protues仿真圖</p><p><b>  結(jié)

54、 論</b></p><p>  通過此次的單片機(jī)課程設(shè)計(jì),我不僅加深了對(duì)單片機(jī)理論的理解,深刻懂得了要不斷地時(shí)間才能掌握知識(shí),而且還學(xué)會(huì)了如何去加強(qiáng)鍛煉創(chuàng)新精神,從而不斷地戰(zhàn)勝自己,超越自己。創(chuàng)新,是要我們將理論很好地聯(lián)系實(shí)際,并不斷地去開動(dòng)自己的腦子,從為先到并做到別人沒想到?jīng)]做到的事,不斷地超越別人,超越自己;同時(shí),更重要的是,在這一設(shè)計(jì)過程中,我懂得了堅(jiān)持不懈,不輕易言棄是每個(gè)理工科學(xué)子應(yīng)具備

55、的良好素質(zhì)。設(shè)計(jì)過程,也好比是我們的成長的歷程,常有一些不如意的事情發(fā)生,這就對(duì)我們提出了挑戰(zhàn)。只要自己堅(jiān)持,堅(jiān)持,再堅(jiān)持,再苦再累也值得。</p><p>  機(jī)遇青睞有準(zhǔn)備的人。這個(gè)設(shè)計(jì)過程中,我遇到過許多的考驗(yàn),給整個(gè)設(shè)計(jì)帶來的困擾,但最后還是堅(jiān)持了下來.回頭想想這個(gè)設(shè)計(jì)的過程,我明白了,原來結(jié)果并不是那么得重要,我們更應(yīng)該注重的是過程。系統(tǒng)以單片機(jī)AT89C52為核心部件,單片機(jī)系統(tǒng)完成對(duì)按鍵信號(hào)識(shí)別、檢

56、測、處理、顯示等功能,用Proteus軟件繪制電路原理圖,利用C語言編制程序。</p><p>  這個(gè)系統(tǒng)設(shè)計(jì)能讓我們更好地去認(rèn)識(shí)和使用單片機(jī),矩陣式鍵盤,節(jié)約I/O口資源,引腳分配,實(shí)時(shí)顯示,芯片應(yīng)用,編程實(shí)踐,還有諸如此類的優(yōu)點(diǎn).</p><p>  本次設(shè)計(jì)只涉及了一部分的功能,可以將之使用在電話座機(jī)中。在進(jìn)一步的研究中還可以考慮加入聲音的播放等功能,相信會(huì)使之更加完善。</

57、p><p><b>  致 謝</b></p><p>  在陳飛老師的指導(dǎo)下,在孫為民、楊冬、劉鎮(zhèn)偉等同學(xué)的幫助下,我完成了本次設(shè)計(jì)。本設(shè)計(jì)從選題到完成,每一步都是在他們的指導(dǎo)和幫助下完成的,傾注了老師和同學(xué)們大量的心血及努力。另外,本設(shè)計(jì)的完成也離不開其他老師和各位同學(xué)給我的建議和幫助,是他們讓我明白了團(tuán)隊(duì)合作的精神。在此,我謹(jǐn)向陳飛老師和幫助過我的老師和同學(xué)們,表

58、示崇高的敬意和衷心的感謝!</p><p><b>  參考文獻(xiàn)</b></p><p>  1]《Protel99SE EDA 技術(shù)及應(yīng)用》徐崢穎編著,北京:機(jī)械工業(yè)出版社,2005</p><p>  [2]《單片機(jī)系統(tǒng)的protues設(shè)計(jì)與仿真》張靖武編著,北京:電子工業(yè)出版社,2007</p><p>  [3]

59、《單片機(jī)原理及接口技術(shù)》李朝青編著,北京:北京航空航天大學(xué)出版社,2005</p><p>  [4]《MCS-51單片機(jī)應(yīng)用開發(fā)實(shí)用子程序》邊春遠(yuǎn)編著,北京:人民郵電出版社,2005</p><p><b>  附錄1</b></p><p><b>  源程序:</b></p><p>  #i

60、nclude<reg51.h></p><p>  #define uchar unsigned char</p><p>  #define uint unsigned int</p><p>  #define SCANPORT P1</p><p>  uchar uca_LineScan[4]={0xEF,0xDF,0xB

61、F,0x7F};</p><p>  uchar ucKeyScan()</p><p><b>  {</b></p><p>  uchar Temp=0; </p><p>  uchar ucRow=0,ucLine=0; </p><p>  for(ucLine=0;

62、ucLine<4;ucLine++)</p><p><b>  {</b></p><p>  SCANPORT=uca_LineScan[ucLine]; </p><p>  Temp=SCANPORT & 0x0F; </p><p>  if(Temp!=0x0F) &l

63、t;/p><p>  { </p><p>  switch(Temp)</p><p><b>  {</b></p><p>  case 0x0E: ucRow=10;break; </p><p>  case 0x0D: ucRow=20;break;</p>

64、;<p>  case 0x0B: ucRow=30;break;</p><p>  case 0x07: ucRow=40;break;</p><p>  default: ucRow=50;break;</p><p><b>  }</b></p><p><b>  break;&

65、lt;/b></p><p><b>  }</b></p><p><b>  }</b></p><p>  SCANPORT=0x0F; </p><p>  return ucRow+ucLine+1; </p><p><b>  

66、}</b></p><p>  void vKeyProcess(unsigned char ucKeyCode)</p><p><b>  {</b></p><p>  switch(ucKeyCode)</p><p><b>  {</b></p><p&g

67、t;  case 11:P2=0xff;P3=0x7f;break; //'7'</p><p>  case 12:P2=0xff;P3=0x3f;break; //'8'</p><p>  case 13:P2=0xff;P3=0x1f;break;</p><p>  case 14:P2=0x

68、ff;P3=0x0f;break;</p><p>  case 21:P2=0xff;P3=0x07;break; //'4'</p><p>  case 22:P2=0xff;P3=0x03;break; //'5'</p><p>  case 23:P2=0xff;P3=0x01;break;

69、</p><p>  case 24:P2=0xff;P3=0x00;break;</p><p>  case 31:P3=0x00;P2=0x7f;break; //'1'</p><p>  case 32:P3=0x00;P2=0x3f;break; //'2'</p><p

70、>  case 33:P3=0x00;P2=0x1f;break;</p><p>  case 34:P3=0x00;P2=0x0f;break;</p><p>  case 41:P3=0x00;P2=0x07;break; //'3'</p><p>  case 42:P3=0x00;P2=0x03;break;</p

71、><p>  case 43:P3=0x00;P2=0x01;break; //'0'</p><p>  case 44:P3=0x00;P2=0x00;break; //'+'</p><p>  default:break;}</p><p><b>  }</b

72、></p><p>  void main()</p><p><b>  {</b></p><p><b>  while(1)</b></p><p><b>  {</b></p><p>  vKeyProcess(ucKeyScan(

73、));</p><p><b>  }</b></p><p><b>  }</b></p><p><b>  附錄2</b></p><p>  Pretel原理圖:</p><p><b>  PCB板:</b></p

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論