2023年全國(guó)碩士研究生考試考研英語(yǔ)一試題真題(含答案詳解+作文范文)_第1頁(yè)
已閱讀1頁(yè),還剩79頁(yè)未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、最近幾十年里直接數(shù)字頻率合成技術(shù)因?yàn)槠漕l率相位變換連續(xù)、頻率分辨率高、相位噪聲低、切換時(shí)間短等優(yōu)點(diǎn),在實(shí)際應(yīng)用中取到了前所未有的發(fā)展?,F(xiàn)在廣泛應(yīng)用于電子對(duì)抗、雷達(dá)通信等軍事領(lǐng)域,現(xiàn)在直接數(shù)字頻率合成器(DDS)芯片已被國(guó)外一些公司壟斷了核心技術(shù),所以說(shuō)研究自主知識(shí)產(chǎn)權(quán)的DDS芯片具有軍事應(yīng)用價(jià)值和廣闊的市場(chǎng)前景。
  本文首先介紹了頻率合成技術(shù)的發(fā)展和基本理論,并且著重介紹了經(jīng)常用到的直接數(shù)字頻率合成器,對(duì)其工作原理以及構(gòu)成作了詳

2、細(xì)論述;然后介紹了常規(guī)的泰勒級(jí)數(shù)近似算法,主要研究分析了基于泰勒級(jí)數(shù)線性插值算法;基于該算法進(jìn)行ROM壓縮和差值處理提出了一個(gè)DDS系統(tǒng)的設(shè)計(jì)。本文所設(shè)計(jì)的直接數(shù)字頻率合成器是基于ASIC技術(shù)的,所以首先簡(jiǎn)單介紹了ASIC技術(shù)設(shè)計(jì)流程相關(guān)知識(shí);接著,對(duì)基于泰勒級(jí)數(shù)線性插值算法的直接數(shù)字頻率合成器進(jìn)行了模塊劃分以及接口參數(shù)的規(guī)劃;最后,完成模塊中所有數(shù)字部分的設(shè)計(jì)并驗(yàn)證,是從仿真到綜合優(yōu)化以及時(shí)序分析的全過(guò)程,其中包括模擬仿真和FPGA驗(yàn)

3、證以及ASIC實(shí)現(xiàn),仿真和驗(yàn)證都是為了確保ASIC設(shè)計(jì)順利的實(shí)現(xiàn)。本文中RTL級(jí)仿真工具為Mentor公司的Modelsim,F(xiàn)PGA驗(yàn)證工具為Altera公司的Quartus,綜合工具為Synopsys公司的Design Compiler,靜態(tài)時(shí)序分析工具為Synopsys公司的Primetime。本設(shè)計(jì)中DDS能實(shí)現(xiàn)四種工作模式,分別是Normal和Chrip、FSK、BPSK四種工作模式。簡(jiǎn)單來(lái)說(shuō),Normal模式就是按照普通的D

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫(kù)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論