2023年全國(guó)碩士研究生考試考研英語(yǔ)一試題真題(含答案詳解+作文范文)_第1頁(yè)
已閱讀1頁(yè),還剩67頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、本文對(duì)頻率合成技術(shù)的發(fā)展過程進(jìn)行了歸納敘述,對(duì)數(shù)字頻率合成技術(shù)的原理和提高DDS性能進(jìn)行了分析研究。運(yùn)用DDS專用器件ML2035設(shè)計(jì)了一低頻高精度正弦信號(hào)發(fā)生器電路,并對(duì)DDS專用器件AD9851結(jié)合單片機(jī)技術(shù)進(jìn)行了應(yīng)用研究。 本文重點(diǎn)利用FPGA芯片及D/A轉(zhuǎn)換器,采用直接數(shù)字頻率合成技術(shù),設(shè)計(jì)實(shí)現(xiàn)了一個(gè)頻率、相位可調(diào)的正弦信號(hào)發(fā)生器系統(tǒng)模塊。本系統(tǒng)設(shè)計(jì)單元主要由32位4級(jí)流水線相位累加器(ADD)、相位調(diào)制器(ADD1)、

2、象限求補(bǔ)器(REG1)、ROM查找表(LPM_ROM)、符號(hào)求補(bǔ)器(REG2)等幾部分組成。通過FPGA的開發(fā)軟件Max+plus Ⅱ,將設(shè)計(jì)程序編譯綜合后的DDS信號(hào)源設(shè)計(jì)文件,在線編程到FPGA開發(fā)板上,利用TEK示波器采集輸出信號(hào)。圖4.9是本次設(shè)計(jì)捕捉的輸出信號(hào)波形。圖中輸出信號(hào)頻率非常接近軟件仿真結(jié)果。經(jīng)過系統(tǒng)設(shè)計(jì)仿真和電路測(cè)試,輸出波形達(dá)到了技術(shù)要求。 DDS是一種全數(shù)字化的頻率合成器,時(shí)鐘頻率給定后,輸出信號(hào)的頻率

3、取決于頻率控制字,頻率分辨率取決于累加器位數(shù),相位分辨率取決于ROM的地址線位數(shù),幅度量化噪聲取決于ROM的數(shù)據(jù)位字長(zhǎng)和D/A轉(zhuǎn)換器位數(shù)。AT89C51單片機(jī)實(shí)現(xiàn)用戶需要的頻率字,波形的產(chǎn)生以及與上位機(jī)通信等邏輯控制功能。 隨著微電子技術(shù)的迅速發(fā)展,直接數(shù)字頻率合成(DDS)得到了飛速的發(fā)展,它在相對(duì)帶寬、頻率轉(zhuǎn)換時(shí)間、相位連續(xù)性、正交輸出、分辨率以及集成化等一系列性能指標(biāo)方面已遠(yuǎn)遠(yuǎn)超過了傳統(tǒng)頻率合成技術(shù)。用高性能的FPGA器件

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫(kù)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論