2023年全國(guó)碩士研究生考試考研英語(yǔ)一試題真題(含答案詳解+作文范文)_第1頁(yè)
已閱讀1頁(yè),還剩26頁(yè)未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、<p><b>  目 錄</b></p><p><b>  第一章 引言2</b></p><p>  1.1 課題背景:2</p><p>  1.2本課題研究意義3</p><p>  第二章 555電路4</p><p>  2.1電路功能介紹

2、4</p><p>  2.2 555時(shí)基電路的主要參數(shù)6</p><p>  2.3 555等效電路7</p><p>  2.4 555時(shí)基電路的應(yīng)用8</p><p>  第三章 單片機(jī)定時(shí)器9</p><p>  3.1定時(shí)器的編程9</p><p>  3.1.1中斷

3、編程10</p><p>  3.1. 2中斷應(yīng)用實(shí)例10</p><p>  3.2定時(shí)計(jì)數(shù)器的原理11</p><p>  3.3 TMOD定時(shí)器|計(jì)數(shù)器方式寄存器12</p><p>  3.4 TCON定時(shí)器|計(jì)數(shù)器控制寄存器13</p><p>  第四章 硬件電路設(shè)計(jì)14</p>

4、<p>  4.1多諧振蕩器設(shè)計(jì)14</p><p>  4.2單穩(wěn)態(tài)觸發(fā)器14</p><p>  4.3 LED數(shù)碼管顯示14</p><p>  4.4復(fù)位電路和晶振電路14</p><p>  第五章 軟件設(shè)計(jì)15</p><p>  5.1 信號(hào)的輸出15</p><

5、;p>  5.2定時(shí)計(jì)數(shù)器15</p><p>  5.3 LED數(shù)碼管18</p><p><b>  第六章 結(jié)論19</b></p><p><b>  致 謝21</b></p><p><b>  參考文獻(xiàn):22</b></p><

6、p><b>  摘 要</b></p><p>  在數(shù)字電路中,數(shù)字頻率計(jì)屬于時(shí)序電路,它主要由具有記憶功能的觸發(fā)器構(gòu)成。在計(jì)算機(jī)及各種數(shù)字儀表中,都得到了廣泛的應(yīng)用。在CMOS電路系列產(chǎn)品中,數(shù)字頻率計(jì)是用量最大、品種很多的產(chǎn)品,是計(jì)算機(jī)、通訊設(shè)備、音頻視頻等科研生產(chǎn)領(lǐng)域不可缺少的測(cè)量?jī)x器,并且與許多電參量的測(cè)量方案、測(cè)量結(jié)果都有十分密切的關(guān)系,因此,頻率的測(cè)量就顯得更為重要。&l

7、t;/p><p>  本課題主要選擇以集成芯片作為核心器件,設(shè)計(jì)了一個(gè)簡(jiǎn)易數(shù)字頻率計(jì),以觸發(fā)器和計(jì)數(shù)器為核心,由信號(hào)輸入、隔直,觸發(fā)、計(jì)數(shù)、數(shù)據(jù)處理和數(shù)據(jù)顯示等功能模塊組成。利用555多諧振蕩器產(chǎn)生的輸出作為輸入(頻率低于6KHZ),用單片機(jī)計(jì)算出頻率,并在LED上顯示。用定時(shí)器0作為定時(shí)器,定時(shí)1S;用定時(shí)器1作為計(jì)數(shù)器,對(duì)輸入的脈沖進(jìn)行計(jì)數(shù)。利用ZLG7290鍵盤顯示芯片在LED上顯示5位的頻率數(shù)值。放大整型電路

8、:對(duì)被測(cè)信號(hào)進(jìn)行預(yù)處理;閘門電路:由NE556構(gòu)成一個(gè)秒信號(hào),攫取單位時(shí)間內(nèi)進(jìn)入計(jì)數(shù)器的脈沖個(gè)數(shù);時(shí)基信號(hào):產(chǎn)生一個(gè)秒信號(hào);計(jì)數(shù)器譯碼電路:計(jì)數(shù)譯碼集成在一塊芯片上,計(jì)單位時(shí)間內(nèi)脈沖個(gè)數(shù),進(jìn)制計(jì)數(shù)器計(jì)數(shù)結(jié)果譯成BCD碼;顯示:把BCD碼譯碼在數(shù)碼管顯示出來。設(shè)計(jì)中采用了模塊化設(shè)計(jì)方法,采用適當(dāng)?shù)姆糯蠛驼危岣吡藴y(cè)量頻率的范圍。</p><p>  關(guān)鍵詞:555電路、定時(shí)器,計(jì)數(shù)器,觸發(fā)器。</p>

9、<p><b>  第一章 引言</b></p><p><b>  1.1 課題背景:</b></p><p> ?。?)單片機(jī)發(fā)展前景</p><p>  中國(guó)使用單片機(jī)的歷史只有短短的30年,在初始的短短五年時(shí)間里 發(fā)展極為迅速。1986 年在上海召開了全國(guó)首屆單片機(jī)開 發(fā)與應(yīng)用交流會(huì),很多地區(qū)還成立了

10、單片微型計(jì)算機(jī)應(yīng)用協(xié)會(huì),那是全國(guó)形成的第一次高潮。單片機(jī),亦稱單片微電腦 或單片微型計(jì)算機(jī)。它是把中央處理器(CPU)、隨機(jī)存取存儲(chǔ)器(RAM)、只讀存儲(chǔ)器(ROM)、輸入/輸出端口 (I/0)等主要計(jì)算機(jī)功能部件都集成在一塊集成電路芯片上的微型計(jì)算機(jī)。正因?yàn)槿绱怂鸥淖兞宋业纳睢?lt;/p><p> ?。?)定時(shí)器的發(fā)展歷史</p><p>  1876年,英國(guó)外科醫(yī)生索加取得一項(xiàng)定時(shí)裝

11、置的專利,用來控制煤氣街燈的開關(guān)。它利用機(jī)械鐘帶動(dòng)開關(guān)來控制煤氣閥們。起初每周上一次發(fā)條,1918年使用電鐘計(jì)時(shí)后,就不用上發(fā)條了。 </p><p>  定時(shí)器確實(shí)是一項(xiàng)了不起的發(fā)明,使相當(dāng)多需要人控制時(shí)間的工作變得簡(jiǎn)單了許多。人們甚至將定時(shí)器用在了軍事方面,制成了定時(shí)炸彈,定時(shí)雷管?,F(xiàn)在的不少家用電器都安裝了定時(shí)器來控制開關(guān)或工作時(shí)間。 </p><p>  定時(shí)器是一個(gè)多任務(wù)定時(shí)提醒

12、軟件,它全面支持WINDOWS 9X/ME/NT/2K/XP按時(shí)執(zhí)行程序、播放聲音、關(guān)機(jī)、待機(jī)、撥號(hào)、斷開連接、關(guān)閉顯示器等等操作。具有多種設(shè)定任務(wù)的方法。支持SKIN,可以隨意更換界面。</p><p>  1.2本課題研究意義</p><p>  隨著微電子技術(shù)和計(jì)算機(jī)技術(shù)的飛速發(fā)展, 各種電子測(cè)量?jī)x器在原理、功能、精度及自動(dòng)化水平等方面都發(fā)生了巨大的變化, 特別是DSP技術(shù)誕生以后,

13、電子測(cè)量技術(shù)更是邁進(jìn)了一個(gè)全新的時(shí)代。近年來,DSP逐漸成為各種電子器件的基礎(chǔ)器件,逐漸成為21世紀(jì)最具發(fā)展?jié)摿Φ某?yáng)行業(yè),甚至被譽(yù)為信息化數(shù)字化時(shí)代革命旗手。在電子測(cè)量技術(shù)中,頻率是最基本的參數(shù)之一,它與許多電參量和非電量的測(cè)量都有著十分密切的關(guān)系。</p><p>  數(shù)字頻率計(jì)廣泛采用了高速集成電路和大規(guī)模集成電路,使得儀器的體積更小、耗電更少、精度和可靠性更高。而傳統(tǒng)的頻率計(jì)測(cè)量誤差較大,范圍也較窄,因此

14、逐漸被新型的數(shù)字頻率計(jì)所代替?;贒SP的等精度頻率計(jì)以其測(cè)量準(zhǔn)確、精度高、方便、價(jià)格便宜等優(yōu)勢(shì)將得到廣泛的應(yīng)用。</p><p>  我們?cè)O(shè)計(jì)的簡(jiǎn)易數(shù)字頻率計(jì)在未采用任何門控器件控制的情況下,在很寬的范圍內(nèi)實(shí)現(xiàn)了等精度頻率測(cè)量,0.5Hz~10MHz的范圍內(nèi)測(cè)量方波的最大相對(duì)誤差小于2e-6,測(cè)量正弦波的最大相對(duì)誤差小于3.5e-5;結(jié)果通過RS232通訊顯示在計(jì)算機(jī)上,可以很方便地監(jiān)測(cè)數(shù)據(jù)。</p>

15、;<p><b>  第二章 555電路</b></p><p><b>  2.1電路功能介紹</b></p><p>  555時(shí)基電路是一種將模擬功能與邏輯功能巧妙地結(jié)合在同一硅片上的組合集成電路。該電路可以在最基本的典型應(yīng)用方式的基礎(chǔ)上,根據(jù)實(shí)際需要,經(jīng)過參數(shù)配置和電路的重新組合,與外接少量的阻容元件就能構(gòu)成不同的電路,因而

16、555電路在波形的產(chǎn)生與變換、測(cè)量與控制、家用電器、電子玩具等許多領(lǐng)域中都得到了廣泛應(yīng)用。</p><p> ?。ㄒ唬?55時(shí)基電路的電路結(jié)構(gòu)和邏輯功能</p><p>  1.電路結(jié)構(gòu)及邏輯功能</p><p>  圖1 555時(shí)基電路的電路結(jié)構(gòu) 555時(shí)基電路的引腳圖</p><p>  圖1為555時(shí)基電路的電路結(jié)構(gòu)和8腳雙列

17、直插式的引腳圖,由圖可知555電路由電阻分壓器、電壓比較器、基本RS觸發(fā)器、放電管和輸出緩沖器5個(gè)部分組成。它的各個(gè)引腳功能如下:</p><p>  1腳:GND(或Vss)外接電源負(fù)端VSS或接地,一般情況下接地。 </p><p>  2腳:TR低觸發(fā)端。</p><p>  3腳:OUT(或Vo)輸出端。</p><p>  4腳:R

18、是直接清零端。當(dāng)R端接低電平,則時(shí)基電路不工作,此時(shí)不論TR、TH處于何電平,時(shí)基電路輸出為“0”,該端不用時(shí)應(yīng)接高電平。</p><p>  5腳:CO(或VC)為控制電壓端。若此端外接電壓,則可改變內(nèi)部?jī)蓚€(gè)比較器的基準(zhǔn)電壓,當(dāng)該端不用時(shí),應(yīng)將該端串入一只0.01μF電容接地,以防引入干擾。</p><p>  6腳:TH高觸發(fā)端。 </p><p>  7腳:

19、D放電端。該端與放電管集電極相連,用做定時(shí)器時(shí)電容的放電。電阻分壓器由三個(gè)5kΩ的等值電阻串聯(lián)而成。電阻分壓器為比較器C1、C2提供參考電壓,比較器C1的參考電壓為2/3Vcc,加在同相輸入端,比較器C2的參考電壓為1/3Vcc,加在反相輸入端。比較器由兩個(gè)結(jié)構(gòu)相同的集成運(yùn)放C1、C2組成。</p><p>  8腳:VCC(或VDD)外接電源VCC,雙極型時(shí)基電路VCC的范圍是4.5~16V,CMOS型時(shí)基電路

20、VCC的范圍為3~18V。一般用5V。</p><p>  在1腳接地,5腳未外接電壓,兩個(gè)比較器C1、C2基準(zhǔn)電壓分別為2/3Vcc,1/3Vcc的情況下,555時(shí)基電路的功能表如圖2所示。</p><p>  圖2 555時(shí)基電路的功能表</p><p>  2.2 555時(shí)基電路的主要參數(shù)</p><p>  555時(shí)基電路的主要

21、參數(shù)有電源電壓、靜態(tài)電流、定時(shí)精度、閾值電壓、閾值電流、觸發(fā)電壓、觸發(fā)電流、復(fù)位電壓、復(fù)位電流、放電電流、驅(qū)動(dòng)電流及最高工作頻率。以下圖3是555電路與7555電路主要參數(shù)的對(duì)比。</p><p>  圖3 555電路與7555電路主要參數(shù)的對(duì)比</p><p>  2.3 555等效電路</p><p>  555時(shí)基電路內(nèi)部既有模擬電路,又有數(shù)字電路,讀圖和

22、應(yīng)用十分不便,為便于一目了然地理解555的功能,可以將555電路的數(shù)字與模擬功能合在一起考慮,進(jìn)行化簡(jiǎn)。</p><p>  圖4(b)是圖4(a)中555電路的內(nèi)電路方框圖簡(jiǎn)化成為帶一個(gè)放電開關(guān)的特殊的RS觸發(fā)器,其邏輯功能見圖5所示。</p><p>  圖4 555電路簡(jiǎn)化電路</p><p>  圖5 基本RS觸發(fā)器和化簡(jiǎn)后的特殊RS觸發(fā)器</p&g

23、t;<p>  化簡(jiǎn)后的特殊RS觸發(fā)器輸出電壓Vo與輸入電壓VTH及VTR的關(guān)系見圖6所示。</p><p>  圖6 輸出電壓V0與輸入電壓VN及VTN的關(guān)系</p><p>  2.4 555時(shí)基電路的應(yīng)用</p><p>  由555時(shí)基電路構(gòu)成常見的最基本的典型應(yīng)用電路有:?jiǎn)畏€(wěn)態(tài)觸發(fā)電路、雙穩(wěn)態(tài)觸發(fā)電路、無穩(wěn)態(tài)電路,而用這3種方式中的1種或

24、多種組合起來可以組成各種實(shí)用的電子電路,如定時(shí)器、分頻器、電路檢測(cè)電路、自動(dòng)控制電路等。</p><p><b>  1.模擬聲響發(fā)生器</b></p><p>  圖7是由兩個(gè)多諧振蕩器構(gòu)成的模擬聲響發(fā)生器。由于低頻振蕩器Ⅰ的輸出端3接到高頻振蕩器Ⅱ的復(fù)位端4,故當(dāng)振蕩器Ⅰ的輸出電壓uo1為高電平時(shí),振蕩器Ⅱ就振蕩;當(dāng)uo1為低電平時(shí),振蕩器Ⅱ停止振蕩,從而使揚(yáng)聲器

25、便發(fā)出間歇聲響。</p><p><b>  圖7 模擬發(fā)生器 </b></p><p>  2.可調(diào)速的電動(dòng)玩具車電路</p><p>  通過調(diào)節(jié)555振蕩器的充電時(shí)間常數(shù),來調(diào)節(jié)玩具電動(dòng)車電動(dòng)機(jī)的轉(zhuǎn)速。555和R1、R2、R3、RP及C1等組成一個(gè)無穩(wěn)態(tài)多諧振蕩器。當(dāng)C1通過R1、RP、R2充電至Uc≥2/3VDD(4V)時(shí),555翻轉(zhuǎn)復(fù)

26、位,3腳轉(zhuǎn)呈低電平,VT截止,電動(dòng)機(jī)M失電,電動(dòng)車依靠慣性滑行。此時(shí),C1上的電荷通過R3、D1向芯片內(nèi)的放電管泄放,即放電回路與充電回路由于D1的接入是分開的,且由于RP+R2的阻值遠(yuǎn)大于R3,放電主要是在R3上進(jìn)行。多諧振蕩器的振蕩頻率為</p><p>  fC=1.44/(R1+RP+R2+R3)C1</p><p>  第三章 單片機(jī)定時(shí)器</p><p>

27、;<b>  3.1定時(shí)器的編程</b></p><p>  定時(shí)器編程主要是對(duì)定時(shí)器進(jìn)行初始化以設(shè)置定時(shí)器工作模式,確定計(jì)數(shù)初值等,使用C語(yǔ)言編程和使用匯編編程方法非常類似。</p><p>  用定時(shí)器實(shí)現(xiàn)P1所接LED每60ms亮或滅一次,設(shè)系統(tǒng)晶振為12M。要使用單片機(jī)的定時(shí)器,首先要設(shè)置定時(shí)器的工作方式,然后給定時(shí)器賦初值,即進(jìn)行定時(shí)器的初始化。這里選擇定時(shí)

28、器0,工作于定時(shí)方式,工作方式 1,即16位定時(shí)/計(jì)數(shù)的工作方式,不使用門控位。由此可以確定定時(shí)器的工作方式字TMOD應(yīng)為00000001B,即0x01。定時(shí)初值應(yīng)為 65536-60000=5536,由于不能直接給T0賦值,必須將5536轉(zhuǎn)化為十六進(jìn)制即為0x15a0,這樣可以寫出初始化程序:</p><p>  TMOD=0x01;</p><p><b>  TH0=0x1

29、</b></p><p><b>  TL0=0xa0;</b></p><p>  初始化定時(shí)器后,要定時(shí)器工作,必須將TR0置1,程序中用“TR0=1;”來實(shí)現(xiàn)。</p><p>  由定時(shí)時(shí)間到后,TF0被置為1,因此,只需要查詢TF0是否等于1即可得知定時(shí)時(shí)間是否到達(dá),程序中用“if(TF0){…}”來判斷,如果 TF0=0

30、,則條件不滿足,大括號(hào)中的程序行不會(huì)被執(zhí)行到,當(dāng)定時(shí)時(shí)間到TF0=1后,條件滿足,即執(zhí)行大括號(hào)中的程序行,首先將TF0清零,然后重置定時(shí)初值,最后是執(zhí)行規(guī)定動(dòng)作――取反P1.0的狀態(tài)。</p><p>  3.1.1.中斷編程</p><p>  C51編譯器支持在C源程序中直接開發(fā)中斷過程,使用該擴(kuò)展屬性的函數(shù)定義語(yǔ)法如下:</p><p>  返回值 函數(shù)名 i

31、nterrupt n</p><p>  其中n對(duì)應(yīng)中斷源的編號(hào),其值從0開始,以80C51單片機(jī)為例,編號(hào)從0~4,分別對(duì)應(yīng)外中斷0、定時(shí)器0中斷、外中斷1、定時(shí)器1中斷和串行口中斷。</p><p>  3.1.2 中斷應(yīng)用實(shí)例</p><p>  用中斷法實(shí)現(xiàn)定時(shí)器控制P1.0所接LED以60ms閃爍。</p><p>  這里仍選用定

32、時(shí)器T0,工作于方式1,無門控。要開啟中斷,必須將EA(總中斷允許)和ET0(定時(shí)器T0中斷允許)置1,程序中用“EA=1;”和“ET0=1;”來實(shí)現(xiàn)。在做完這些工作以后,就用 for(;;){;}讓主程序進(jìn)入無限循環(huán)中,所有工作均由中斷程序?qū)崿F(xiàn)。</p><p>  由于定時(shí)器0的中斷編號(hào)為1,所以中斷程序中這樣寫:</p><p>  void timer0() interrupt 1

33、</p><p><b>  {…}</b></p><p>  80C51單片機(jī)內(nèi)部設(shè)有兩個(gè)16位的可編程定時(shí)器/計(jì)數(shù)器。可編程的意思是指其功能(如工作 方式、定時(shí)時(shí)間、量程、啟動(dòng)方式等)均可由指令來確定和改變。在定時(shí)器/計(jì)數(shù)器中除了有兩個(gè)16位的計(jì)數(shù)器之外,還有兩個(gè)特殊功能寄存器(控制寄存器和方式寄存器)。</p><p>  圖8 80

34、C51單片機(jī)定時(shí)器、計(jì)數(shù)器結(jié)構(gòu)原理圖</p><p>  從圖8定時(shí)器/計(jì)數(shù)器的結(jié)構(gòu)圖中我們可以看出,16位的定時(shí)/計(jì)數(shù)器分別由兩個(gè)8位專用寄存器組成,即:T0由TH0和TL0構(gòu)成;T1由TH1和TL1 構(gòu)成。其訪問地址依次為8AH-8DH。每個(gè)寄存器均可單獨(dú)訪問。這些寄存器是用于存放定時(shí)或計(jì)數(shù)初值的。此外,其內(nèi)部還有一個(gè)8位的定時(shí)器方式寄存器 TMOD和一個(gè)8位的定時(shí)控制寄存器TCON。這些寄存器之間是通過內(nèi)部

35、總線和控制邏輯電路連接起來的。TMOD主要是用于選定定時(shí)器的工作方式; TCON主要是用于控制定時(shí)器的啟動(dòng)停止,此外TCON還可以保存T0、T1的溢出和中斷標(biāo)志。當(dāng)定時(shí)器工作在計(jì)數(shù)方式時(shí),外部事件通過引腳T0 (P3.4)和T1(P3.5)輸入。</p><p>  3.2定時(shí)計(jì)數(shù)器的原理</p><p>  當(dāng)定時(shí)器/計(jì)數(shù)器為定時(shí)工作方式時(shí),計(jì)數(shù)器的加1信號(hào)由振蕩器的12分頻信號(hào)產(chǎn)生,即

36、每過一個(gè)機(jī)器周期,計(jì)數(shù)器加1,直至計(jì)滿溢出為止。顯然,定時(shí)器的定時(shí)時(shí)間與系統(tǒng)的振蕩頻率有關(guān)。因一個(gè)機(jī)器周期等于12個(gè)振蕩周期,所以計(jì)數(shù)頻率fcount=1/12osc。如果晶振為12MHz,則計(jì)數(shù)周期為:</p><p>  T=1/(12×106)Hz×1/12=1μs</p><p>  這是最短的定時(shí)周期。若要延長(zhǎng)定時(shí)時(shí)間,則需要改變定時(shí)器的初值,并要適當(dāng)選擇定時(shí)

37、器的長(zhǎng)度(如8位、13位、16位等)。當(dāng)定時(shí)器/計(jì)數(shù)器為計(jì)數(shù)工作方式時(shí),通過引腳T0和T1對(duì)外部信號(hào)計(jì)數(shù),外部脈沖的下降沿將觸發(fā)計(jì)數(shù)。計(jì)數(shù)器在每個(gè)機(jī)器周期的S5P2期間采樣引腳輸入電 平。若一個(gè)機(jī)器周期采樣值為1,下一個(gè)機(jī)器周期采樣值為0,則計(jì)數(shù)器加1。此后的機(jī)器周期S3P1期間,新的計(jì)數(shù)值裝入計(jì)數(shù)器。所以檢測(cè)一個(gè)由1至0的跳 變需要兩個(gè)機(jī)器周期,故外部事年的最高計(jì)數(shù)頻率為振蕩頻率的1/24。例如,如果選用12MHz晶振,則最高計(jì)數(shù)頻率

38、為0.5MHz。雖然對(duì)外部輸入信號(hào) 的占空比無特殊要求,但為了確保某給定電平在變化前至少被采樣一次,外部計(jì)數(shù)脈沖的高電平與低電平保持時(shí)間均需在一個(gè)機(jī)器周期以上。當(dāng)CPU用軟件給定時(shí)器設(shè)置了某種工作方式之后,定時(shí)器就會(huì)按設(shè)定的工作方式獨(dú)立運(yùn)行,不再占用CPU的操作時(shí)間,除非定時(shí)器計(jì)滿溢出,才可能中斷CPU 當(dāng)前操作。CPU也可以重新設(shè)置定時(shí)器工作方式,以改變定時(shí)器的操作。由此可見,定時(shí)器是單片機(jī)中效率高而且工作靈活的部件。</p&g

39、t;<p>  我們已知定時(shí)器/計(jì)數(shù)器是一種可編程部件,所以在定時(shí)器/計(jì)數(shù)器開始工作之前,CPU必須將一些命令(稱為控制字)寫入定時(shí)/計(jì)數(shù)器。將控制字寫入定時(shí)/計(jì)數(shù)器的過程叫定時(shí)器/計(jì)數(shù)器初始化。在初始化過程中,要將工作方式控制字寫入方式寄存器,工作狀態(tài)字(或相關(guān)位)寫入控制寄存器,賦定時(shí)/計(jì) 數(shù)初值。下面我們就提出的控制字的格式及各位的主要功能與大家詳細(xì)的講解。控制寄存器 定時(shí)器/計(jì)數(shù)器T0和T1有2個(gè)控制寄存器-TMO

40、D和TCON,它們分別用來設(shè)置各個(gè)定時(shí)器/計(jì)數(shù)器的工作方式,選擇定時(shí)或計(jì)數(shù)功能,控制啟動(dòng)運(yùn)行,以及作為運(yùn)行狀態(tài)的標(biāo)志等。其中,TCON寄存器中另有4位用于中斷系統(tǒng)</p><p>  3.3 TMOD定時(shí)器|計(jì)數(shù)器方式寄存器</p><p>  定時(shí)器方式控制寄存器TMOD在特殊功能寄存器中,字節(jié)地址為89H,無位地址。TMOD的格式如下圖所示。</p><p> 

41、 由圖可見,TMOD的高4位用于T1,低4使用于T0,4種符號(hào)的含義如下:</p><p>  GATE:門控制位。GATE和軟件控制位TR、外部引腳信號(hào)INT的狀態(tài),共同控制定時(shí)器/計(jì)數(shù)器的打開或關(guān)閉。</p><p>  C/T:定時(shí)器/計(jì)數(shù)器選擇位。C/T=1,為計(jì)數(shù)器方式;C/T=0,為定時(shí)器方式。</p><p>  M1M0:工作方式選擇位,定時(shí)器/計(jì)數(shù)

42、器的4種工作方式由M1M0設(shè)定。</p><p>  定時(shí)器/計(jì)數(shù)器方式控制寄存器TMOD不能進(jìn)行位尋址,只能用字節(jié)傳送指令設(shè)置定時(shí)器工作方式,低半字節(jié)定義為定時(shí)器0,高半字節(jié)定義為定時(shí)器1。復(fù)位時(shí),TMOD所有位均為0。</p><p>  3.4 TCON定時(shí)器|計(jì)數(shù)器控制寄存器</p><p>  TCON在特殊功能寄存器中,字節(jié)地址為88H,位地址(由低位到

43、高位)為88H一8FH,由于有位地址,十分便于進(jìn)行位操作。TCON的作用是控制定時(shí)器的啟、停,標(biāo)志定時(shí)器溢出和中斷情況。</p><p>  TCON的格式如下圖所示。其中,TFl,TRl,TF0和TR0位用于定時(shí)器/計(jì)數(shù)器;IEl,ITl,IE0和IT0位用于中斷系統(tǒng)。</p><p><b>  各位定義如下:</b></p><p>  

44、TF1:定時(shí)器1溢出標(biāo)志位。當(dāng)字時(shí)器1計(jì)滿溢出時(shí),由硬件使TF1置“1”,并且申請(qǐng)中斷。進(jìn)入中斷服務(wù)程序后,由硬件自動(dòng)清“0”,在查詢方式下用軟件清“0”。</p><p>  TR1:定時(shí)器1運(yùn)行控制位。由軟件清“0”關(guān)閉定時(shí)器1。當(dāng)GATE=1,且INT1為高電平時(shí),TR1置“1”啟動(dòng)定時(shí)器1;當(dāng)GATE=0,TR1置“1”啟動(dòng)定時(shí)器1。</p><p>  TF0:定時(shí)器0溢出標(biāo)志。

45、其功能及操作情況同TF1。</p><p>  TR0:定時(shí)器0運(yùn)行控制位。其功能及操作情況同TR1。</p><p>  IE1:外部中斷1請(qǐng)求標(biāo)志。</p><p>  IT1:外部中斷1觸發(fā)方式選擇位。</p><p>  IE0:外部中斷0請(qǐng)求標(biāo)志。</p><p>  IT0:外部中斷0觸發(fā)方式選擇位。 &l

46、t;/p><p>  TCON中低4位與中斷有關(guān)。由于TCON是可以位尋址的,因而如果只清溢出或啟動(dòng)定時(shí)器工作,可以用位操作命令。</p><p>  第四章 硬件電路設(shè)計(jì)</p><p>  系統(tǒng)的硬件設(shè)計(jì)分為三個(gè)部分:多諧振蕩器,單穩(wěn)態(tài)觸發(fā)器,以及LED數(shù)碼管的設(shè)計(jì)。下面分別進(jìn)行介紹。</p><p><b>  圖9 電源電路&

47、lt;/b></p><p>  4.1多諧振蕩器設(shè)計(jì)</p><p>  多諧振蕩器由門電路和阻容元件構(gòu)成,它沒有穩(wěn)定狀態(tài),只有兩個(gè)暫穩(wěn)態(tài),通過電容的放電和充電,是兩個(gè)暫穩(wěn)態(tài)相互交替,從而產(chǎn)生激震蕩,輸出周期性的矩形脈由于矩形脈沖含有豐富的諧波分量,因此,常將矩形脈沖產(chǎn)生電路稱做多諧振蕩器。</p><p><b>  4.1.1電路組成</

48、b></p><p>  圖10 多諧振蕩器的電路圖</p><p>  用555定時(shí)器構(gòu)成的多諧振蕩器電路如圖10所示:圖中電容C、電阻R1和R2作為振蕩器的定時(shí)元件,決定著輸出矩形波正、負(fù)脈沖的寬度。定時(shí)器的觸發(fā)輸入端(2腳)和閥值輸入端(6腳)與電容相連;集電極開路輸出端(7腳)接R1、R2相連處,用以控制電容C的充、放電;外界控制輸入端(5腳)通過0.01uF電容接地。&

49、lt;/p><p>  4.1.2.工作原理:</p><p>  圖11 多諧振蕩器的工作波形</p><p>  多諧振蕩器的工作波形如圖11所示:    電路接通電源的瞬間,由于電容C來不及充電,Vc=0v,所以555定時(shí)器狀態(tài)為1,輸出Vo為高電平。同時(shí),集電極輸出端(7腳)對(duì)地?cái)嚅_,電源Vcc對(duì)電容C充電,電路進(jìn)入暫穩(wěn)態(tài)I,此后,電路周而復(fù)始地產(chǎn)生周期性的

50、輸出脈沖。多諧振蕩器兩個(gè)暫穩(wěn)態(tài)的維持時(shí)間取決于RC充、放電回路的參數(shù)。暫穩(wěn)態(tài)Ⅰ的維持時(shí)間,即輸出Vo的正向脈沖寬度T1≈0.7(R1+R2)C;暫穩(wěn)態(tài)Ⅱ的維持時(shí)間,即輸出Vo的負(fù)向脈沖寬度T2≈0.7R2C?! ∫虼耍袷幹芷赥=T1+T2=0.7(R1+2R2)C,振蕩頻率f=1/T。正向脈沖寬度T1與振蕩周期T之比稱矩形波的占空比D,由上述條件可得D=(R1+R2)/(R1+2R2),若使R2>>R1,則D≈1/2,即

51、輸出信號(hào)的正負(fù)向脈沖寬度相等的矩形波(方波)。</p><p>  4.2用555定時(shí)器構(gòu)成的單穩(wěn)態(tài)觸發(fā)器</p><p>  觸發(fā)器有兩個(gè)基本特征:1、它有兩個(gè)穩(wěn)定狀態(tài),可分別用來表示二進(jìn)制數(shù)碼0和1;2、在輸入信號(hào)作用下,觸發(fā)器的兩個(gè)穩(wěn)定狀態(tài)可相互轉(zhuǎn)換,輸入信號(hào)消失后,已轉(zhuǎn)換的穩(wěn)定狀態(tài)可長(zhǎng)期保持下來,這就使得觸發(fā)器能夠記憶二進(jìn)制信息,常用作二進(jìn)制存儲(chǔ)單元。因此,它是一個(gè)具有記憶功能的基

52、本邏輯電路,有著廣泛的應(yīng)用。</p><p>  4.2.1.電路組成</p><p>  圖12 單穩(wěn)態(tài)觸發(fā)器電路 圖13 由555定時(shí)器構(gòu)成的單穩(wěn)態(tài)觸發(fā)器</p><p>  如圖13所示,其中R、C為單穩(wěn)態(tài)觸發(fā)器的定時(shí)元件,它們的連接點(diǎn)Vc與定時(shí)器的閥值輸入端(6腳)及輸出端Vo'(7腳)相連。單穩(wěn)態(tài)觸發(fā)器輸出脈沖寬度tpo

53、=1.1RC。</p><p>  Ri、Ci構(gòu)成輸入回路的微分環(huán)節(jié),用以使輸入信號(hào)Vi的負(fù)脈沖寬度tpi限制在允許的范圍內(nèi),一般tpi>5RiCi,通過微分環(huán)節(jié),可使Vi'的尖脈沖寬度小于單穩(wěn)態(tài)觸發(fā)器的輸出脈沖寬度tpo。若輸入信號(hào)的負(fù)脈沖寬度tpi本來就小于tpo,則微分環(huán)節(jié)可省略?!?lt;/p><p>  定時(shí)器復(fù)位輸入端(4腳)接高電平,控制輸入端Vm</p>

54、;<p>  通過0.01uF接地,定時(shí)器輸出端Vo(3腳)作為單穩(wěn)態(tài)觸發(fā)器的單穩(wěn)信號(hào)輸出端。</p><p><b>  圖14 復(fù)位電路</b></p><p>  4.2.2.工作原理</p><p>  當(dāng)輸入Vi保持高電平時(shí),Ci相當(dāng)于斷開。輸入Vi'由于Ri的存在而為高電平Vcc。此時(shí),①若定時(shí)器原始狀態(tài)為0

55、,則集電極輸出(7腳)導(dǎo)通接地,使電容C放電、Vc=0,即輸入6腳的信號(hào)低于2/3Vcc,此時(shí)定時(shí)器維持0不變。</p><p> ?、谌舳〞r(shí)器原始狀態(tài)為1,則集電極輸出(7腳)對(duì)地?cái)嚅_,Vcc經(jīng)R向C充電,使Vc電位升高,待Vc值高于2/3Vcc時(shí),定時(shí)器翻轉(zhuǎn)為0態(tài)。</p><p>  單穩(wěn)態(tài)觸發(fā)器的工作過程分為下面三個(gè)階段來分析,圖12為其工作波形圖:</p><

56、p>  圖15 單穩(wěn)態(tài)觸發(fā)器工作波形圖</p><p><b> ?、儆|發(fā)翻轉(zhuǎn)階段:</b></p><p>  輸入負(fù)脈沖Vi到來時(shí),下降沿經(jīng)RiCi微分環(huán)節(jié)在Vi'端產(chǎn)生下跳負(fù)向尖脈沖,其值低于負(fù)向閥值(1/3Vcc)。</p><p><b> ?、跁簯B(tài)維持階段:</b></p><

57、;p>  由于集電極開路輸出端(7腳)對(duì)地?cái)嚅_,Vcc通過R向C充電,Vc按指數(shù)規(guī)律上升并趨向于Vcc。</p><p><b> ?、鄯祷鼗謴?fù)階段:</b></p><p>  當(dāng)C充電使Vc值高于正向閥值(2/3Vcc)時(shí),由于Vi'端負(fù)向尖脈沖已消失 ,Vi'值高于負(fù)向閥值(1/3Vcc),定時(shí)器翻轉(zhuǎn)為0,輸出低電平,集電極輸出端(7腳)對(duì)

58、地導(dǎo)通,暫態(tài)階段結(jié)束。</p><p>  4.3 LED數(shù)碼管顯示</p><p>  常見的七段數(shù)字顯示器有半導(dǎo)體數(shù)碼顯示器(LED)和液晶顯示器(LCD)等。這里主要介紹半導(dǎo)體數(shù)碼顯示器。</p><p>  發(fā)光二極管數(shù)碼顯示器的內(nèi)部接法有兩種:一種是共陽(yáng)接法,一種是共陰接法。七段譯碼器輸出低電平時(shí),需選用共陽(yáng)接法的數(shù)碼顯示器;譯碼器輸出高電平時(shí),則需選用共

59、陰接法的數(shù)碼顯示器。</p><p>  圖16 LED數(shù)碼管</p><p><b>  第五章 軟件設(shè)計(jì)</b></p><p><b>  5.1 信號(hào)的輸出</b></p><p>  利用555多諧震蕩器產(chǎn)生的輸出作為輸入(頻率低于6KHZ),用單片機(jī)算出頻率,并在LED上顯示。<

60、/p><p><b>  5.2定時(shí)計(jì)數(shù)器</b></p><p>  晶振產(chǎn)生一個(gè)振蕩頻率穩(wěn)定的脈沖,通過分頻整形、門控雙穩(wěn)后,產(chǎn)生所需寬度的基準(zhǔn)時(shí)間T的脈沖,又稱閘門時(shí)間脈沖。</p><p>  注意:分頻器一般采用計(jì)數(shù)器完成,計(jì)數(shù)器的模即為分頻比。</p><p><b>  計(jì)數(shù)脈沖形成電路:</b

61、></p><p>  將被測(cè)信號(hào)變換為可計(jì)數(shù)的窄脈沖,其輸出受閘門脈沖的控制。</p><p><b>  計(jì)數(shù)顯示電路:</b></p><p>  對(duì)被測(cè)信號(hào)進(jìn)行計(jì)數(shù),顯示被測(cè)信號(hào)的頻率。計(jì)數(shù)器一般采用多位10 進(jìn)制計(jì)數(shù)器;控制邏輯電路控制計(jì)數(shù)的工作程序:準(zhǔn)備、計(jì)數(shù)、顯示、復(fù)位和準(zhǔn)備下一次測(cè)量。其中十進(jìn)制計(jì)數(shù)器要求具有計(jì)數(shù)使能端CN

62、TEN、復(fù)位端CLR、進(jìn)位輸。</p><p>  利用555多諧振蕩器產(chǎn)生的輸出作為輸入,用單片機(jī)算出頻率,并在LED上顯示。以下是所需程序:</p><p>  #include“reg52.h”</p><p>  #include“zlg7290.h” //Zlg7290庫(kù)</p><p>  unsign

63、ed char scount; //I*IC庫(kù)</p><p>  void timer0_int()interrupt 1</p><p><b>  {</b></p><p><b>  TR0=0;</b></p><p>  TH0=0;

64、 //關(guān)閉定時(shí)器</p><p>  TH0=0x4c; //重裝定時(shí)器值</p><p><b>  TL0=0x19;</b></p><p>  TF0=0; //清除溢出標(biāo)志</p>&

65、lt;p><b>  scount--;</b></p><p>  if(scount>0) //到1s了嗎?</p><p>  TR0=1; //沒到,開定時(shí)器</p><p><b>  else</b></p

66、><p>  TR=0; //到了,停止T1的計(jì)數(shù)</p><p><b>  }</b></p><p><b>  Main()</b></p><p><b>  {</b></p><p>  unsig

67、ned char a[5];</p><p>  unsigned char I,resh,res1;</p><p>  unsigned long int freq;</p><p>  TMOD=0Xd1;</p><p>  TH0=0x4C; //定時(shí)50ms</p><

68、;p><b>  TL0=ox19;</b></p><p>  TH1=0; //計(jì)數(shù)值清0</p><p><b>  TL1=0;</b></p><p>  scount=20;

69、 //定時(shí)1s</p><p>  ET0=1; //開定時(shí)器0中斷</p><p>  EA=1; //開總中斷</p><p>  TR0=1; /

70、/啟動(dòng)定時(shí)器和計(jì)數(shù)值</p><p><b>  TR1=1;</b></p><p>  For (i=0;i<5;++i)</p><p><b>  a[i]=0;</b></p><p>  ZLG7290_Sendbuf(a,5); //在LED上顯

71、示5位0</p><p>  While (1) </p><p><b>  {</b></p><p>  if(!scount) //1s時(shí)間到</p><p><b>  {</b></p><p>  resh=T

72、H1; //取出計(jì)數(shù)值</p><p><b>  res1=TL1;</b></p><p>  TH1=0; //計(jì)數(shù)值清零</p><p><b>  TL1=0;</b></p><p>

73、;  TH0=0x4C; //重裝定時(shí)器0</p><p><b>  TL0=0x19;</b></p><p>  scount=20; //定時(shí)1s</p><p><b>  }</b></p><p>

74、  TR0=1; //啟動(dòng)定時(shí)器和計(jì)數(shù)</p><p><b>  TR1=1;</b></p><p>  freq=resh*256+resl; //計(jì)算機(jī)頻率值</p><p>  a[0]=freq%10; //將各位分離顯示a[1

75、]=(freq/10)%10;</p><p>  a[2]=(freq/100)%10;</p><p>  a[3]=(freq/1000)%10;</p><p>  a[4]=freq/10000; </p><p>  ZLG7290_Sendbuf(a,5); //送ZLG7290顯示</

76、p><p><b>  }</b></p><p>  5.3 LED數(shù)碼管</p><p>  單片機(jī)中通常使用的是有7個(gè)發(fā)光二極管,即七段LED按日字排列成的數(shù)碼管。七段LED的陽(yáng)極連在一起稱為共陽(yáng)極接法,而陰極連在一起稱為共陰極接法。每段的筆畫分別稱為A,B,C,D,E,F,G,另有一段構(gòu)成小數(shù)點(diǎn)。</p><p> 

77、 在動(dòng)態(tài)顯示方式中,6個(gè)數(shù)碼管的段選信號(hào)是分時(shí)輪流輸出,要得到穩(wěn)定的顯示效果,必須不斷重復(fù)執(zhí)行顯示程序。設(shè)8155的CS接8051的P2.7,IO/M接8051的P2.0。顯示程序如下:</p><p>  MOD: PUSH ACC ;保護(hù)現(xiàn)場(chǎng) </p><p>  PUSH DPH</p><p>  PUS

78、H DPL</p><p>  SETB RS0</p><p>  CLR P2.7;選通8155</p><p>  SETB P2.0 ;選8155的I/O口</p><p>  MOV R1, #0F8H ;設(shè)定8155的控制口&

79、lt;/p><p>  MOV A, #4DH ;設(shè)定8155的A口,C口為輸出</p><p>  MOV X @R1, A </p><p>  DIR: MOV R0, #DIS0 ;顯示緩沖區(qū)首地址送R0</p><p>  MOV R6,

80、 #20H ;選最左邊的LED</p><p>  MOV R7, #00H ;設(shè)定顯示時(shí)間的計(jì)數(shù)</p><p>  MOV DPTR, #TAB ;字形段碼表首地址送DPTR</p><p>  DIR1 : MOV A, #00H ;關(guān)顯示</p><

81、;p>  MOV R1, #0FBH ;指向8155的A口</p><p>  MOVX @R1, A ;取要8155的C口</p><p>  MOV A, @R0 ;取要顯示的數(shù)</p><p>  MOVC A, @A+DPTR ;取段碼</

82、p><p>  MOV R1, #0F9H ;指向8155的A口</p><p>  MOVX @R1, A ;輸出端碼</p><p>  MOV A, R6 ;取位碼</p><p>  MOV R1, #0FBH ;指

83、向8155的A口</p><p>  MOVX @R1, A ;輸出位選碼</p><p>  HERE: DJNZ R7, HERE ;延時(shí)</p><p>  INC R0 ;指向下一個(gè)顯示單元</p><p>  CLR

84、 C </p><p>  MOV A, R6 </p><p>  RRC A</p><p>  MOV R6, A ;位選碼右移一位</p><p>  JNZ DIR1</p><p&g

85、t;  SETB P2, 7 ;未顯示完6個(gè)LED,繼續(xù)循環(huán)</p><p>  CLR RS0 ;恢復(fù)原態(tài)</p><p>  POP DPL</p><p>  POP DPH</p><p>  POP ACC</p><

86、p><b>  RET</b></p><p><b>  第六章 結(jié)論</b></p><p>  555定時(shí)器是一種多用途的集成電路,只需外接少量阻容元件便可構(gòu)成施密特觸發(fā)器、單穩(wěn)態(tài)觸發(fā)器和多諧振蕩器等。此外,它還可組成其它各種實(shí)用電路。由于555定時(shí)器實(shí)用方便、靈活,有較強(qiáng)的負(fù)載能力和較高的觸發(fā)靈敏度。因此,它在自動(dòng)送控制、儀器儀表、

87、家用電器等許多領(lǐng)域都用著廣泛的應(yīng)用、</p><p>  數(shù)字頻率計(jì)是數(shù)字電路中的一個(gè)典型應(yīng)用,實(shí)際的硬件設(shè)計(jì)用到的器件較多,而且會(huì)產(chǎn)生比較大的延時(shí),造成測(cè)量誤差、可靠性差。傳統(tǒng)的數(shù)字頻率計(jì)一般是由分離元件搭接而成,隨著單片機(jī)的大規(guī)模的應(yīng)用,單片機(jī)在頻率測(cè)量方面也越來越多的被使用。在本課題中使用的AT89S51 這種低功耗,高性能CMOS 8位單片機(jī)系列的單片機(jī)的出現(xiàn),具有更好的穩(wěn)定性,更快和更準(zhǔn)確的運(yùn)算精度,推

88、動(dòng)了工業(yè)生產(chǎn),影響著人們的工作和學(xué)習(xí)。而本次設(shè)計(jì)就是要通過以AT89S51單片機(jī)為控制核心,實(shí)現(xiàn)對(duì)信號(hào)頻率進(jìn)行準(zhǔn)確計(jì)數(shù)的設(shè)計(jì)。</p><p>  單片機(jī)是將微型計(jì)算機(jī)的基本功能部件全部集成在一塊半導(dǎo)體電路芯片上,具有功能強(qiáng)、體積小、價(jià)格低、穩(wěn)定可靠、研制周期短等優(yōu)點(diǎn),具有廣闊的應(yīng)用前景。</p><p><b>  致 謝</b></p><p&

89、gt;  在這里我首先要感謝我的指導(dǎo)老師x老師。本論文是在xx老師的指導(dǎo)和引領(lǐng)下完成的,整個(gè)論文的編寫都與老師的耐心指導(dǎo)和細(xì)心解析分不開的。xx老師嚴(yán)謹(jǐn)治學(xué)、認(rèn)真負(fù)責(zé)、不怕困難的科研態(tài)度對(duì)我影響至深,這些影響將使我終身受益。我在此對(duì)xx老師表示最真摯的謝意!</p><p>  再次對(duì)所有在求學(xué)和生活過程中給了我們培養(yǎng)、教導(dǎo)、關(guān)心和幫助的老師、同學(xué)們表示衷心的感謝!最后,非常感謝評(píng)閱本設(shè)計(jì)報(bào)告的各位老師們!<

90、;/p><p><b>  參考文獻(xiàn)</b></p><p>  [1] 馬獻(xiàn)果,頻率測(cè)量方法的改進(jìn),儀器儀表學(xué)報(bào),2004.8,25(4)增刊:120-122</p><p>  [2] 趙戰(zhàn)克,單片機(jī)在移頻信號(hào)頻率檢測(cè)中的應(yīng)用,微計(jì)算機(jī)信息,2004,20(2):76-77</p><p>  [3] Toshiba C

91、orporation, Semiconductor Technical Data (4N25)</p><p>  [4] 胡大可,MSP430系列超低功耗16位單片機(jī)原理與應(yīng)用,北京航空航天大學(xué)出社,2000.6</p><p>  [5] 魏小龍,MSP430系列單片機(jī)接口技術(shù)及系統(tǒng)設(shè)計(jì)實(shí)例,北京航空航天大學(xué)出社,2002.11</p><p>  [6] 梁源

92、,MSP430單片機(jī)TIMER_A在產(chǎn)品設(shè)計(jì)中的應(yīng)用,2001嵌入式系統(tǒng)及單片機(jī)國(guó)際學(xué)術(shù)交流會(huì)論文集</p><p>  [7] 張晞,MSP430系列單片機(jī)實(shí)用C語(yǔ)言程序設(shè)計(jì),人民郵電出版社,2005.9</p><p>  [8] 劉立群,基于MSP430單片機(jī)的超低功耗數(shù)據(jù)采集器設(shè)計(jì),自動(dòng)化儀表,2005.4,26(4):30-31</p><p>  [9]

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫(kù)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論