2023年全國(guó)碩士研究生考試考研英語一試題真題(含答案詳解+作文范文)_第1頁
已閱讀1頁,還剩18頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、<p>  《單片機(jī)原理與接口技術(shù)》課程設(shè)計(jì)</p><p>  題 目: 基于單片機(jī)的出租車計(jì)價(jià)器的設(shè)計(jì)</p><p>  學(xué)院(系): </p><p>  年級(jí)專業(yè): </p><p>  學(xué) 號(hào): </p><p>

2、  學(xué)生姓名: </p><p>  指導(dǎo)教師: </p><p><b>  課程設(shè)計(jì)任務(wù)書</b></p><p>  學(xué)生姓名: 專業(yè)班級(jí): </p><p>  指導(dǎo)教師: 工作單位

3、: </p><p>  題 目: 基于單片機(jī)的出租車計(jì)價(jià)器的設(shè)計(jì) </p><p><b>  初始條件:</b></p><p>  運(yùn)用所學(xué)的單片機(jī)原理與接口技術(shù)知識(shí)和數(shù)字電路知識(shí);</p><p>

4、;  51單片機(jī)應(yīng)用開發(fā)系統(tǒng)一套;</p><p>  PC機(jī)及相關(guān)應(yīng)用軟件。</p><p>  要求完成的主要任務(wù):</p><p>  以目前生活中正在使用的出租車計(jì)價(jià)器為原型進(jìn)行設(shè)計(jì),要求具有按時(shí)間和里程綜合計(jì)算車價(jià)的功能,能顯示時(shí)間、里程、單價(jià)、總車價(jià)等相關(guān)信息。</p><p>  完成出租車計(jì)價(jià)器的設(shè)計(jì)和調(diào)試。 </p

5、><p>  撰寫課程設(shè)計(jì)說明書,說明書使用A4打印紙計(jì)算機(jī)打印,用Protel等繪圖軟件繪制電子線路圖紙。</p><p><b>  時(shí)間安排:</b></p><p>  第1周 下達(dá)課程設(shè)計(jì)任務(wù)書和日程安排,調(diào)研和查找資料,明確設(shè)計(jì)任務(wù)要求;</p><p>  第2周 完成方案論證、總體設(shè)計(jì)和硬件設(shè)計(jì);</p

6、><p>  第3周 完成軟件設(shè)計(jì)和程序的編寫; </p><p>  第4周 調(diào)試硬件系統(tǒng)和軟件程序,完成整個(gè)系統(tǒng)的設(shè)計(jì)和調(diào)試;</p><p>  第5周 結(jié)果分析整理、撰寫課程設(shè)計(jì)報(bào)告,驗(yàn)收和答辯。</p><p>  指導(dǎo)教師簽名: 2010 年 12 月 15 日</p><

7、p>  系主任(或責(zé)任教師)簽名: 2010 年 12 月 16 日</p><p>  基于51單片機(jī)原理出租車計(jì)價(jià)器的設(shè)計(jì)</p><p><b>  摘要 </b></p><p>  由于科技的飛速發(fā)展,帶動(dòng)汽車行業(yè)快速發(fā)展,出租車日益普遍,而出租車上的計(jì)價(jià)器也不斷發(fā)展,并且更加精確和智能化。對(duì)我們?nèi)粘I钜灿袔?/p>

8、助。而使用單片機(jī)實(shí)現(xiàn)該系統(tǒng),是深化單片機(jī)應(yīng)用的良好途徑。</p><p>  本系統(tǒng)由單片機(jī)AT89C51和一些外圍原件組成,具有操作簡(jiǎn)單,顯示明了,功能強(qiáng)大的特點(diǎn)。</p><p>  整個(gè)系統(tǒng)只有兩個(gè)按鍵,一個(gè)“啟動(dòng)/停止”,一個(gè)“復(fù)位”;</p><p>  使用六個(gè)七段數(shù)碼管作為顯示器,可以顯示數(shù)字、字母使系統(tǒng)信息一目了然;</p><p

9、>  本系統(tǒng)除了里程統(tǒng)計(jì)和費(fèi)用計(jì)算以外,還具有萬年歷和語音播報(bào)功能。</p><p>  萬年歷由時(shí)鐘芯片DS1302實(shí)時(shí)提供時(shí)鐘信號(hào),再由單片機(jī)調(diào)用顯示;</p><p>  語音播報(bào)由語音芯片ISD1420提供語音信息,語音信息放在不同的地址里,由單片機(jī)從這些地址中調(diào)用合適的語音進(jìn)行播放。</p><p>  本方案充分發(fā)揮人性化的特點(diǎn),利用ISD1420

10、的語音功能,模擬實(shí)現(xiàn)帶語音提示的出租車計(jì)價(jià)系統(tǒng)。比較真實(shí)地模擬出租車的空車、載客、到站及對(duì)各狀況的費(fèi)率的計(jì)算、統(tǒng)計(jì)、顯示和語音播報(bào)等功能。</p><p>  本系統(tǒng)涉及到的理論知識(shí)有: AT89C51單片機(jī)知識(shí)、ISD1420語音芯片的可擦、寫的語音芯片的工作原理及應(yīng)用、實(shí)時(shí)時(shí)鐘芯片的工作原理及應(yīng)用、 出租車計(jì)費(fèi)系統(tǒng)的原理和實(shí)現(xiàn)方法。</p><p>  關(guān)鍵詞:時(shí)鐘芯片DS1302;語

11、音芯片ISD1420;單片機(jī)AT89C51;掉電保護(hù)芯片24C02;</p><p><b>  目 錄</b></p><p><b>  前 言1</b></p><p>  第一章 出租車計(jì)價(jià)系統(tǒng)的設(shè)計(jì)要求與設(shè)計(jì)方案2</p><p>  1.1出租車計(jì)價(jià)器系統(tǒng)組成2</p&

12、gt;<p>  1.2系統(tǒng)主要功能2</p><p>  1.3方案論證與比較2</p><p>  第二章 出租車計(jì)價(jià)系統(tǒng)的硬件設(shè)計(jì)3</p><p>  2.1出租車的硬件框圖3</p><p>  2.2AT89C51單片機(jī)的電路圖及說明3</p><p>  2.3按鍵掃描

13、模塊4</p><p>  2.4顯示模塊5</p><p>  2.5掉電保護(hù)模塊5</p><p>  2.6脈沖發(fā)生器模塊6</p><p>  2.7時(shí)鐘模塊6</p><p>  2.8語音模塊8</p><p>  第三章 出租車計(jì)價(jià)系統(tǒng)的軟件設(shè)計(jì)9<

14、/p><p>  3.1系統(tǒng)主程序流程圖9</p><p>  3.2里程和費(fèi)率計(jì)算程序流程圖10</p><p>  第四章 設(shè)計(jì)體會(huì)與小結(jié)11</p><p><b>  參考文獻(xiàn)11</b></p><p><b>  附 錄12</b></p>

15、;<p><b>  一.源程序12</b></p><p>  二. 系統(tǒng)硬件原理圖15</p><p><b>  前 言</b></p><p>  出租車行業(yè)在我國(guó)是八十年代初興起的一項(xiàng)新興行業(yè),隨著出租車行業(yè)的發(fā)展,出租車已經(jīng)是城市交通的重要組成部分,出租車計(jì)費(fèi)器是乘客與司機(jī)雙方的交易準(zhǔn)則,它是

16、出租車行業(yè)發(fā)展的重要標(biāo)志,是出租車中最重要的工具。它關(guān)系著交易雙方的利益。具有良好性能的計(jì)費(fèi)器無論是對(duì)廣大出租車司機(jī)朋友還是乘客來說都是很必要的。因此,汽車計(jì)價(jià)器的研究也是十分有一個(gè)應(yīng)用價(jià)值的。要將出租車計(jì)價(jià)系統(tǒng)產(chǎn)品化,應(yīng)該根據(jù)客戶不同的需求進(jìn)行不同的設(shè)計(jì),應(yīng)該在程序中增加一些可以人為改變的參數(shù),以便客戶根據(jù)不同的需要隨時(shí)調(diào)節(jié)單價(jià)以及計(jì)價(jià)方式。因此,研究出租車計(jì)價(jià)器及擴(kuò)大其應(yīng)用,有著非?,F(xiàn)實(shí)的意義。</p><p&g

17、t;  多年來國(guó)內(nèi)普遍使用的計(jì)價(jià)器只具備單一的計(jì)量功能。目前全世界的計(jì)價(jià)器中有90%為臺(tái)灣所生產(chǎn)?,F(xiàn)今我國(guó)生產(chǎn)計(jì)價(jià)器的企業(yè)有上百家,主要是集中在北京,上海,沈陽和廣州等地。</p><p>  我國(guó)第一家生產(chǎn)計(jì)價(jià)器的是重慶市起重機(jī)廠,最早的計(jì)價(jià)器全部采用機(jī)械齒輪構(gòu),只能完成簡(jiǎn)單的計(jì)程功能,可以說,早期的計(jì)價(jià)器就是個(gè)里程表。隨著科學(xué)技術(shù)的發(fā)展,產(chǎn)生了第二代計(jì)價(jià)器。它采用了手搖計(jì)算機(jī)與機(jī)械結(jié)構(gòu)相結(jié)合的方式,實(shí)現(xiàn)了半機(jī)

18、械半電子化。此時(shí)它在計(jì)程的同時(shí)還可完成計(jì)價(jià)的工作。大規(guī)模集成電路的發(fā)展又產(chǎn)生了第三代計(jì)價(jià)器,也就是全電子化的計(jì)價(jià)器。它的功能也在不斷完善。出租汽車計(jì)價(jià)器是一種專用的計(jì)量?jī)x器,它安裝在出租汽車上,能連續(xù)累加,并指示出行程中任一時(shí)刻乘客應(yīng)付費(fèi)用的總數(shù),其金額值是計(jì)程和計(jì)時(shí)時(shí)間的函數(shù)。出租車計(jì)價(jià)器在最初使用時(shí)具備的主要功能是根據(jù)行駛里程計(jì)價(jià),要求精度高,可靠性好。隨著電子技術(shù)的發(fā)展以及對(duì)計(jì)價(jià)器的不斷改進(jìn)和完善,便產(chǎn)生了諸多的附加功能。例如:(

19、1)LED顯示功能,數(shù)碼管的使用讓計(jì)價(jià)器實(shí)現(xiàn)多屏顯示的功能,可同時(shí)顯示各項(xiàng)營(yíng)運(yùn)數(shù)據(jù),使乘客一目了然;(2)永久時(shí)鐘功能,在非營(yíng)運(yùn)狀態(tài)下,日歷時(shí)鐘芯片的使用使計(jì)價(jià)器可以顯示永久時(shí)鐘;(3)存儲(chǔ)功能,可存儲(chǔ)多項(xiàng)營(yíng)運(yùn)數(shù)據(jù),便于查詢。新型數(shù)據(jù)存儲(chǔ)器的應(yīng)用使得計(jì)價(jià)器的營(yíng)運(yùn)數(shù)據(jù)在掉電情況下還可以保存10年。</p><p>  第一章 出租車計(jì)價(jià)系統(tǒng)的設(shè)計(jì)要求與設(shè)計(jì)方案</p><p>  出租車計(jì)

20、價(jià)器系統(tǒng)組成</p><p>  此出租車計(jì)費(fèi)系統(tǒng)以單片機(jī)為系統(tǒng)核心,利用89C51單片機(jī)配合六個(gè)數(shù)碼管組成的顯示模塊及ISD1420語音模塊等,實(shí)現(xiàn)基本的出租車計(jì)價(jià)器功能。本系統(tǒng)是由89C51單片機(jī)、數(shù)碼管顯示模塊、計(jì)數(shù)脈沖發(fā)生器、系統(tǒng)實(shí)時(shí)時(shí)鐘及語音等模塊組成。系統(tǒng)框圖如圖1-1所示:</p><p>  圖1-1 系統(tǒng)功能圖</p><p><b>

21、  系統(tǒng)主要功能</b></p><p>  本課程設(shè)計(jì)所設(shè)計(jì)的出租車計(jì)價(jià)器的主要功能有:里程計(jì)量及費(fèi)用計(jì)算功能、實(shí)時(shí)時(shí)鐘功能、按鍵功能。</p><p><b>  方案論證與比較</b></p><p>  方案一:采用數(shù)字電路控制。采用傳感器件,輸出脈沖信號(hào)過放大整形作為移位寄存器的脈沖,實(shí)現(xiàn)計(jì)價(jià),但是考慮到這種電路過于簡(jiǎn)單,

22、性能不穩(wěn)定,而且不能調(diào)節(jié)單價(jià),也不能根據(jù)天氣調(diào)節(jié)計(jì)費(fèi)標(biāo)準(zhǔn),電路不夠?qū)嵱谩?lt;/p><p>  方案二:采用單片機(jī)控制。</p><p>  利用單片機(jī)豐富的 I/O端口,及其控制的靈活性,實(shí)現(xiàn)基本的里程計(jì)價(jià)功能和價(jià)格調(diào)節(jié)、時(shí)鐘顯示功能。</p><p>  通過比較以上兩種方案,單片機(jī)方案有較大的活動(dòng)空間,不但能實(shí)現(xiàn)所要求的功能而且能在很大的程度上擴(kuò)展功能, 而且還

23、可以方便的對(duì)系統(tǒng)進(jìn)行升級(jí), 所以我們采用后一種方案。</p><p>  第二章 出租車計(jì)價(jià)系統(tǒng)的硬件設(shè)計(jì)</p><p><b>  出租車的硬件框圖</b></p><p>  圖2-2 單片機(jī)控制方案</p><p>  AT89C51單片機(jī)的電路圖及說明</p><p>  AT89C5

24、1是一個(gè)低電壓,高性能CMOS 8位單片機(jī),片內(nèi)含4k bytes的可反復(fù)擦寫的Flash只讀程序存儲(chǔ)器和128 bytes的隨機(jī)存取數(shù)據(jù)存儲(chǔ)器(RAM),器件采用ATMEL公司的高密度、非易失性存儲(chǔ)技術(shù)生產(chǎn),兼容標(biāo)準(zhǔn)MCS-51指令系統(tǒng),片內(nèi)置通用8位中央處理器和Flash存儲(chǔ)單元,內(nèi)置功能強(qiáng)大的微型計(jì)算機(jī)AT89C51提供了高性價(jià)比的解決方案。    AT89C51是一個(gè)低功耗高性能單片機(jī),

25、40個(gè)引腳,32個(gè)外部雙向輸入/輸出(I/O)端口,同時(shí)內(nèi)含2個(gè)外中斷口,2個(gè)16位可編程定時(shí)計(jì)數(shù)器,2個(gè)全雙工串行通信口,AT89C51可以按照常規(guī)方法進(jìn)行編程,也可以在線編程。其將通用的微處理器和Flash存儲(chǔ)器結(jié)合在一起,特別是可反復(fù)擦寫的Flash存儲(chǔ)器可有效地降低開發(fā)成本。</p><p>  圖2-2 AT89C51引腳配置</p><p><b>  按鍵掃描模塊&

26、lt;/b></p><p>  (1)按鍵掃描模塊電路圖及說明:</p><p>  整個(gè)系統(tǒng)只有五個(gè)按鍵,一個(gè)“啟動(dòng)/停止”,四個(gè)功能調(diào)整按鍵;本模塊功能:掃描按鍵功能,返回掃描。</p><p><b>  圖2-3-1</b></p><p><b>  圖2-3-2</b></

27、p><p><b>  顯示模塊</b></p><p>  本設(shè)計(jì)是用六個(gè)七段數(shù)碼管動(dòng)態(tài)掃描來實(shí)現(xiàn)的時(shí)鐘與計(jì)價(jià)的顯示。</p><p>  圖2-4 數(shù)碼管封裝圖及數(shù)據(jù)線與數(shù)碼管管腳關(guān)系</p><p><b>  掉電保護(hù)模塊</b></p><p>  (1) 本電路掉電保

28、護(hù)采用了24C02芯片來完成此部分功能。掉電存儲(chǔ)單元的作用是在電源斷開的時(shí)候,存儲(chǔ)當(dāng)前設(shè)定的單價(jià)信息。AT24C02 是ATMEL 公司的2KB字節(jié)的電可擦除存儲(chǔ)芯片,采用兩線串行的總線和單片機(jī)通訊,電壓最低可以到 2.5V,額定電流為 1mA,靜態(tài)電流 10Ua(5.5V),芯片內(nèi)的資料可以在斷電的情況下保存40年以上,而且采用8腳的DIP封裝,使用方便。其電路如圖2-5所示。</p><p>  圖2-5 掉

29、電存儲(chǔ)電路原理圖</p><p>  圖中 R8、R10是上拉電阻,其作用是減少AT24C02的靜態(tài)功耗,由于 AT24C02的數(shù)據(jù)線和地址線是復(fù)用的,采用串口的方式傳送數(shù)據(jù),所以只用兩根線 SCL(移位脈沖)和SDA(數(shù)據(jù)/地址)與單片機(jī)傳送數(shù)據(jù)。每當(dāng)設(shè)定一次單價(jià),系統(tǒng)就自動(dòng)調(diào)用存儲(chǔ)程序,將單價(jià)信息保存在芯片內(nèi);當(dāng)系統(tǒng)重新上電的時(shí)候,自動(dòng)調(diào)用讀存儲(chǔ)器程序,將存儲(chǔ)器內(nèi)的單價(jià)等信息,讀到緩存單元中,供主程序使用。&

30、lt;/p><p><b>  脈沖發(fā)生器模塊</b></p><p>  圖2-6 脈沖發(fā)生器模塊</p><p>  NE555定時(shí)器具有定時(shí)精度高、工作速度快、可靠性好、電源電壓范圍寬(3-18V)、輸出電流大(可高達(dá)200mA)等優(yōu)點(diǎn),可組成各種波形的脈沖振蕩電路、定時(shí)延時(shí)電路、是一種電路結(jié)構(gòu)簡(jiǎn)單、使用方便靈活、用途廣泛的多功能中規(guī)模集成電

31、路。只需在外部配接適當(dāng)?shù)淖枞菰憧山M成施密特觸發(fā)器、單穩(wěn)態(tài)觸發(fā)器和多諧振蕩器等多種應(yīng)用電路,在這個(gè)電路中是多諧振蕩器,它電路簡(jiǎn)單、性能穩(wěn)定,波形標(biāo)準(zhǔn)。</p><p><b>  時(shí)鐘模塊</b></p><p><b>  圖2-7 時(shí)鐘模塊</b></p><p><b>  DS1302功能</b

32、></p><p>  內(nèi)含有一個(gè)實(shí)時(shí)時(shí)鐘/日歷和31 字節(jié)靜態(tài)RAM 通過簡(jiǎn)單的串行接口與單片機(jī)進(jìn)行通信實(shí)時(shí)時(shí)鐘/日歷電路提供秒分時(shí)日日期月年的信息每月的天數(shù)和閏年的天數(shù)可自動(dòng)調(diào)整時(shí)鐘操作可通過AM/PM 指示決定采用24 或12 小時(shí)格式DS1302 與單片機(jī)之間能簡(jiǎn)單地采用同步串行的方式進(jìn)行通信僅需用到三個(gè)口線1 RES 復(fù)位2 I/O 數(shù)據(jù)線3 SCLK串行時(shí)鐘時(shí)鐘/RAM 的讀/寫數(shù)據(jù)以一個(gè)字節(jié)或

33、多達(dá)31 個(gè)字節(jié)的字符組方式通信DS1302 工作時(shí)功耗很低保持?jǐn)?shù)據(jù)和時(shí)鐘信息時(shí)功率小于1Mw。</p><p> ?、谔匦裕?、實(shí)時(shí)時(shí)鐘具有能計(jì)算2100 年之前的秒分時(shí)日日期星期月年的能力還有閏年調(diào)整的能力;2、31 8 位暫存數(shù)據(jù)存儲(chǔ)RAM;3、串行I/O 口方式使得管腳數(shù)量最少;4、寬范圍工作電壓2.0 5.5V;5、工作電流2.0V 時(shí),小于300nA;6、讀/寫時(shí)鐘或RAM 數(shù)據(jù)時(shí)有兩種傳送方式單字節(jié)

34、傳送和多字節(jié)傳送字符組方式;7、8腳DIP封裝或可選的8腳SOIC封裝根據(jù)表面裝配;8、簡(jiǎn)單3 線接口;9、與TTL 兼容Vcc=5V;10、可選工業(yè)級(jí)溫度范圍-40℃ +85℃;11、與DS1202 兼容;12、在DS1202 基礎(chǔ)上增加的特性;13、對(duì)Vcc1 有可選的涓流充電能力,雙電源管用于主電源和備份,電源供應(yīng)備份,電源管腳可由電池或大容量電容輸入。</p><p> ?、?DS1302 的管腳排列及描

35、述如下圖2-8及表所示</p><p>  圖2-8 管腳配置和管腳功能說明</p><p> ?、?DS1302 內(nèi)部寄存器:</p><p>  CH: 時(shí)鐘停止位寄存器2 的第7 位12/24 小時(shí)標(biāo)志</p><p>  CH=0 振蕩器工作允許bit7=1,12 小時(shí)模式</p><p>  CH=1 振蕩器

36、停止bit7=0,24 小時(shí)模式</p><p>  WP: 寫保護(hù)位寄存器2 的第5 位:AM/PM 定義</p><p>  WP=0 寄存器數(shù)據(jù)能夠?qū)懭?AP=1 下午模式</p><p>  WP=1 寄存器數(shù)據(jù)不能寫入 AP=0 上午模式</p><p>  TCS: 涓流充電選擇 DS: 二極管選擇位</p><

37、;p>  TCS=1010 使能涓流充電 DS=01 選擇一個(gè)二極管</p><p>  TCS=其它 禁止涓流充電 DS=10 選擇兩個(gè)二極管</p><p>  DS=00 或11, 即使TCS=1010, 充電功能也被禁止</p><p><b>  語音模塊</b></p><p>  圖2-8 ISD14

38、20管腳圖和管腳描述</p><p>  ISD1420系列單片錄放時(shí)間8至20秒,音質(zhì)好。芯片采用CMOS技術(shù),內(nèi)含震蕩器、話筒前置放大、自動(dòng)增益控制、防混淆濾波器、平滑濾波器、揚(yáng)聲器驅(qū)動(dòng)及EEPROM陣列。最小的錄放系統(tǒng)僅需麥克風(fēng)、喇叭、兩個(gè)按鈕、電源及少數(shù)電阻電容。在錄放操結(jié)束后,芯片自動(dòng)進(jìn)入低功耗節(jié)電模式、功耗僅0.5uA。ISD1420系列有唯一的錄音控制和邊緣/電平觸發(fā)兩種放音控制。不分段時(shí)外圍線路最

39、簡(jiǎn),也可按最小段長(zhǎng)為單位任意組合分段,芯片提供若干操作模式,大大提高了控制的靈活性。芯片采用多電平直接模擬量存儲(chǔ)專利技術(shù),每個(gè)采樣直接存儲(chǔ)在片內(nèi)單個(gè)EEPROM單元中,因此能夠非常真實(shí)、自然地再現(xiàn)語音、音樂、音調(diào)各效果,避免了一般固體錄音電路因量化和壓縮造成的量化噪聲和“金屬聲”。采樣頻率從5.3,6.4到8.0KHz,對(duì)音質(zhì)僅有輕微影響。片內(nèi)信息可保存100年(無需后備電源),EEPROM單片可反復(fù)錄音十萬次。</p>

40、<p>  特點(diǎn):1、使用方便的單片錄放系統(tǒng),外部元件最少;2、重現(xiàn)優(yōu)質(zhì)原聲,沒有常見的背景噪音;3、放音可由邊沿或電平觸發(fā);4、無耗電信息存儲(chǔ),省掉備用電池;5、信息可保存100年,可反復(fù)錄放10萬次;6、無需專用編程或開發(fā)系統(tǒng);7、較強(qiáng)的分段選址能力可處理多達(dá)160段信息;8、具有自動(dòng)節(jié)電模式;9、錄或放后立即進(jìn)入維持狀態(tài),僅需0.5μA電流;10、單一5伏電源供電;</p><p>  第三章

41、出租車計(jì)價(jià)系統(tǒng)的軟件設(shè)計(jì)</p><p><b>  系統(tǒng)主程序流程圖</b></p><p>  里程和費(fèi)率計(jì)算程序流程圖</p><p>  第四章 設(shè)計(jì)體會(huì)與小結(jié) </p><p>  經(jīng)過這次出租車計(jì)價(jià)器的課程設(shè)計(jì),我收益非淺,從中我學(xué)到了很多東西.課程設(shè)計(jì)不僅是對(duì)前面所學(xué)知識(shí)的一種檢驗(yàn),而且也是對(duì)自己能力的一

42、種提高。通過這次設(shè)計(jì)使我明白了自己原來知識(shí)還比較欠缺。自己要學(xué)習(xí)的東西還太多,以前老是覺得自己什么東西都會(huì),什么東西都懂,有點(diǎn)眼高手低。通過這次課程設(shè)計(jì),我才明白學(xué)習(xí)是一個(gè)長(zhǎng)期積累的過程,另外,還學(xué)會(huì)了在網(wǎng)絡(luò)上查找有關(guān)本設(shè)計(jì)的各硬件的資源,其中包括:AT89S51單片機(jī)及其引腳說明,為本次課程設(shè)計(jì)提供了一定的資料。</p><p>  在這次課程設(shè)計(jì)中也使我們的同學(xué)關(guān)系更進(jìn)一步了,同學(xué)之間互相幫助,有什么不懂的大

43、家在一起商量,聽聽不同的看法對(duì)我們更好的理解知識(shí),所以在這里非常感謝幫助我的同學(xué)。 </p><p>  總之,不管學(xué)會(huì)的還是學(xué)不會(huì)的的確覺得困難比較多,真是萬事開頭難,不知道如何入手。最后終于做完了有種如釋重負(fù)的感覺。此外,還得出一個(gè)結(jié)論:知識(shí)必須通過應(yīng)用才能實(shí)現(xiàn)其價(jià)值!有些東西以為學(xué)會(huì)了,但真正到用的時(shí)候才發(fā)現(xiàn)是兩回事,所以我認(rèn)為只有到真正會(huì)用的時(shí)候才是真的學(xué)會(huì)了。</p><p> 

44、 經(jīng)過這次的課程設(shè)計(jì),也為我們以后畢業(yè)設(shè)計(jì)的制作奠定了一定的基礎(chǔ)。</p><p><b>  參考文獻(xiàn)</b></p><p>  丁躍軍.單片機(jī)基礎(chǔ)教程.北京:北航大學(xué)出版社,2004</p><p>  張?chǎng)?、華臻、陳書謙.《單片機(jī)原理及應(yīng)用》[M].電子工業(yè)出版社,2005</p><p>  李群芳,肖看.單片

45、機(jī)原理接口與應(yīng)用.北京:清華大學(xué)出版社,2005</p><p>  朱承高.電工及電子技術(shù)手冊(cè)[M].北京:高等教育出版社,1990</p><p>  高峰.單片微型應(yīng)用系統(tǒng)設(shè)計(jì)及實(shí)用技術(shù).北京:機(jī)械工業(yè)出版社,2004</p><p>  廖常初.現(xiàn)場(chǎng)總線概述[J].電工技術(shù),1999</p><p>  丁元杰、吳大偉.《單片微機(jī)實(shí)題

46、集與實(shí)驗(yàn)指導(dǎo)書》[M].機(jī)械工業(yè)出版社, 2004</p><p><b>  附 錄 </b></p><p><b>  一.源程序</b></p><p>  #include<reg51.h> //頭文件</p><p>  #include

47、<intrins.h></p><p>  typedef unsigned char uchar; //定義一個(gè)無符號(hào)的字符型的簡(jiǎn)寫</p><p>  code uchar tab[ ]={0xc0,0xf9,0xa4,</p><p>  0xb0,0x99,0x92,0x82,0xf8,0x80,0x90}; </p>

48、<p>  bit flag=0; //定義標(biāo)量初值為零</p><p>  float y; //定義 一個(gè)浮點(diǎn)型的全局常量 </p><p><b>  float y1;</b></p><p>  float count_price=0; /

49、/定義 一個(gè)浮點(diǎn)型的全局變量 </p><p>  int a; //定義兩個(gè)無符號(hào)的全局變量</p><p>  float s; //定義 一個(gè)浮點(diǎn)型的全局變量</p><p>  #define time_num 100 //宏定義時(shí)間為100us</p><p>  sbit CLK=P

50、3^5; //DS1302的時(shí)鐘控制線 </p><p>  sbit DAT=P3^6; //DS1302的數(shù)據(jù)線</p><p>  sbit RST=P3^7; //DS1302的復(fù)位信號(hào)</p><p>  #define delay_time

51、 60 //宏定義時(shí)間為60us</p><p>  sbit SCL=P3^6; //24c02的時(shí)鐘控制線 </p><p>  sbit SDA=P3^7; //24c02的數(shù)據(jù)線</p><p>  sbit s2=P2^1;

52、 //時(shí)間設(shè)置開關(guān)</p><p>  sbit s1=P3^3; //日期設(shè)置開關(guān)</p><p>  sbit s3=P2^2; //數(shù)據(jù)加/日期時(shí)間顯示方式設(shè)置</p><p>  sbit s4=P2^3; </p><p&

53、gt;  //********************************************************</p><p>  void EX_INT0(void) interrupt 0 //外部中斷0函數(shù) </p><p><b>  {</b></p><p>  static uchar num

54、;</p><p>  uchar mybyte; </p><p>  Write_One_Byte(0x20,0xb0); //設(shè)定單價(jià)存入緩沖器</p><p><b>  _nop_( );</b></p><p>  _nop_( ); </p

55、><p>  mybyte=Read_One_Byte(0x20); //上電保護(hù) </p><p>  num++; //一個(gè)計(jì)數(shù)器自增1</p><p>  IT0=1; //邊沿觸發(fā)方式</p>

56、;<p>  if(num==10 ) //判斷是否有10個(gè)脈沖</p><p>  { </p><p>  num=0; //清零</p><p>  count_pri

57、ce ++; //另一個(gè)計(jì)數(shù)器計(jì)數(shù) </p><p>  if(count_price <=4) //不足40個(gè)脈沖</p><p>  { &l

58、t;/p><p>  s=s+0.5; //里程加0。5公里 </p><p>  y1=mybyte+a; //金額為默認(rèn)價(jià)與等待金額之和</p><p><b>  }</b></p><p>  else //

59、否則大于40個(gè)脈沖</p><p><b>  {</b></p><p>  s=s+0.5; //里程加0。5公里</p><p>  y1=(s-2)*y+mybyte+a; //金額為里程減2公里乘單價(jià)加默認(rèn)價(jià)加等待金額</p><p><b>  }<

60、/b></p><p><b>  } </b></p><p>  //********************************************************</p><p>  main( ) /* 主函數(shù) */</p><p

61、><b>  {</b></p><p>  uchar key;</p><p>  Cpu_Init( );</p><p>  key=scankey( );</p><p>  ds1302_write_time( );</p><p><b>  while(1)<

62、/b></p><p><b>  {</b></p><p>  key=scankey( );</p><p>  if(key==8)</p><p><b>  { </b></p><p><b>  EX0=1;</b></p&g

63、t;<p><b>  Y=1.8;</b></p><p><b>  flag=1; </b></p><p><b>  }</b></p><p>  if(key==7)</p><p><b>  { </b></p>

64、;<p><b>  EX0=1;</b></p><p><b>  Y=2;</b></p><p><b>  flag=1; </b></p><p><b>  }</b></p><p><b>  if(flag)&

65、lt;/b></p><p><b>  {</b></p><p><b>  ET1=0;</b></p><p>  DP_DIS( ); </p><p>  key=scankey( );</p><p>  switch(key)

66、 </p><p><b>  {</b></p><p><b>  case 5:</b></p><p><b>  EX0=1;</b></p><p><b>

67、;  P1=0xf0;</b></p><p>  delay2(5);</p><p><b>  TR0=0;</b></p><p><b>  break;</b></p><p><b>  case 6:</b></p><p>

68、<b>  P1=0x00;</b></p><p>  delay2(1);</p><p>  Cpu_Init( );</p><p>  EX0=0; </p><p><b>  TR0=1;</b></p><p><b>  while(1)&

69、lt;/b></p><p><b>  {</b></p><p><b>  ET1=0;</b></p><p>  DP_DIS( ); </p><p>  if(s1==0||s4==0) </p><p><b>  break;</b&g

70、t;</p><p><b>  } </b></p><p><b>  break;</b></p><p><b>  }</b></p><p><b>  }</b></p><p><b>  else<

71、/b></p><p><b>  {</b></p><p>  ds1302_read_time( );</p><p>  just_tm( );</p><p><b>  }</b></p><p>  if(key==4)</p><p&

72、gt;<b>  {</b></p><p><b>  ET1=1;</b></p><p><b>  flag=0;</b></p><p><b>  EX0=0;</b></p><p><b>  s=0;</b><

73、/p><p><b>  y1=0;</b></p><p>  count_price=0;</p><p><b>  }</b></p><p><b>  }</b></p><p><b>  }</b></p>

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫(kù)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

最新文檔

評(píng)論

0/150

提交評(píng)論