2023年全國碩士研究生考試考研英語一試題真題(含答案詳解+作文范文)_第1頁
已閱讀1頁,還剩27頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、<p>  基于DDS信號發(fā)生器的設(shè)計(jì)</p><p><b>  摘  要</b></p><p>  設(shè)計(jì)了一種以單片機(jī)STC89C52+AD9851為核心的信號發(fā)生器,AD9851是美國模擬器件公司采用先進(jìn)的DDS技術(shù)生產(chǎn)的高集成度頻率合成單片集成芯片。由該芯片構(gòu)成的信號發(fā)生器的輸出頻率范圍為0—72MHz,頻率步進(jìn)可調(diào),最小步進(jìn)為1Hz、精度為1Hz

2、、輸出信號幅度峰峰值約為1V、雜散小等優(yōu)點(diǎn)。文中介紹了DDS的基本原理和AD9851的功能特性以及其控制方式,提出了一種信號源設(shè)計(jì)的方案,最后給出了該信號發(fā)生器的硬件結(jié)構(gòu)和軟件設(shè)計(jì)方法。</p><p>  關(guān)鍵詞:DDS 信號發(fā)生器 AD9851 STC89C52</p><p>  The design of signal generator with AD9851 </p>

3、;<p><b>  ABSTRACT</b></p><p>  Design a signal generator adopted Microcontroller AT89S52+AD9851 as core. The AD9851 is a highly integrated device that uses advanced direct digital synthes

4、izer (DDS) technology produced by Analog Devices Corporation. The Devices can generating a resultant frequency of up to 72 MHz and can control. The minimum step can achieve 1Hz.The output signal amplitude peak to peak is

5、 about 1V. This paper introduces the basic elements of DDS. The function, characteristic and program designed by a source of</p><p>  Keywords: DDS Signal generator AD9851 STC89C52</p><p><

6、;b>  目 錄</b></p><p><b>  第1章 緒論</b></p><p>  1.1論文選題的目的和意義1</p><p>  1.2國內(nèi)外關(guān)于該論題的研究現(xiàn)狀和發(fā)展趨勢1</p><p>  1.3系統(tǒng)方案的研究1</p><p>  第2章 系

7、統(tǒng)總體方案設(shè)計(jì)</p><p><b>  2.1方案選擇3</b></p><p>  2.2系統(tǒng)總體方案3</p><p>  第3章 硬件電路具體設(shè)計(jì)</p><p>  3.1 基于AD9851的DDS模塊的設(shè)計(jì)5</p><p>  3.1.1 DDS的結(jié)構(gòu)及工作原理5<

8、/p><p>  3.1.2 AD9851芯片的簡介7</p><p>  3.1.3 AD9851芯片的原理8</p><p>  3.2 控制模塊11</p><p>  3.2.1 單片機(jī)STC89C52的簡介11</p><p>  3.2.2 STC89C52與AD9851的接口電路12</p&g

9、t;<p>  3.2.3 鍵盤部分13</p><p>  3.2.4 液晶顯示部分13</p><p>  3.2.5 液晶顯示與單片機(jī)的接口電路14</p><p>  3.3 信號放大模板15</p><p>  3.3.1 THS3091芯片的簡介15</p><p>  3.3.2

10、基于THS3091的放大電路16</p><p>  第4章 系統(tǒng)的軟件設(shè)計(jì)</p><p>  4.1 軟件模塊設(shè)計(jì)17</p><p>  4.2 應(yīng)用軟件簡介17</p><p>  4.2.1 Keil簡介17</p><p>  4.2.2 Protel99SE簡介18</p>&l

11、t;p><b>  結(jié) 論19</b></p><p><b>  參考文獻(xiàn)20</b></p><p><b>  附錄一21</b></p><p><b>  附錄二22</b></p><p><b>  后記24<

12、;/b></p><p><b>  第1章 緒論</b></p><p>  頻率合成器是電子系統(tǒng)的重要部分,是決定某些電子系統(tǒng)性能的關(guān)鍵器件,隨著現(xiàn)代無線電通信事業(yè)的發(fā)展,移動通信、雷達(dá)、制導(dǎo)武器和電子對抗等系統(tǒng)對頻率合成器提出越來越高的要求,低相噪、高純頻譜和高速捷變的頻率合成器一直是頻率合成技術(shù)發(fā)展的主要目標(biāo),直接數(shù)字頻率合成器(DDS)技術(shù)的發(fā)展將有

13、力地推動這一目標(biāo)的實(shí)現(xiàn)。</p><p>  1.1論文選題的目的和意義</p><p>  頻率合成信號發(fā)生器是科研、教學(xué)實(shí)驗(yàn)及各種電子測量技術(shù)中很重要的一種信號源。隨著科學(xué)技術(shù)的發(fā)展,對信號源的要求也越來越高,要求信號源的頻率穩(wěn)定度、準(zhǔn)確度及分辨率要高,以適應(yīng)各種高精度的測量,為了滿足這種高的要求,各國都在研制一些頻率合成信號源。</p><p>  DDS技術(shù)

14、是從相位概念出發(fā)直接合成所需波形的一種新的頻率合成技術(shù)。采用軟、硬件相結(jié)合的合成方式由于高速存儲器產(chǎn)生正弦波幅值數(shù)據(jù)。因此合成頻率可以做得很高,目前已達(dá)到數(shù)百兆赫茲。</p><p>  1.2國內(nèi)外關(guān)于該論題的研究現(xiàn)狀和發(fā)展趨勢</p><p>  國內(nèi)也有很多廠家在生產(chǎn)數(shù)字頻率合成器但與國外的同類型產(chǎn)品相比較,技術(shù)指標(biāo)上還有很大的差距。國外的數(shù)字頻率合成器技術(shù)已達(dá)到十分先進(jìn)的水平,許多

15、著名電子公司已研出品質(zhì)優(yōu)越的數(shù)字信號合成器。綜觀國內(nèi)研制生產(chǎn)的數(shù)字頻率計(jì),雖然在采用大規(guī)模集成電路和專用集成電路、改進(jìn)設(shè)計(jì)、強(qiáng)化多功能和小型化等方面取得很大進(jìn)展。隨著近幾年來我國新品產(chǎn)業(yè)的快速發(fā)展,對DDS的研究已經(jīng)有了突破性的發(fā)展,但其技術(shù)性能與國外同類先進(jìn)產(chǎn)品相比,仍有差距。可以預(yù)料,隨著低價格、高時鐘頻率、高性能的新一代DDS芯片的問世,DDS應(yīng)用前景將不可估量,我國正朝著這個方向逐步前進(jìn)。</p><p>

16、;  1.3 系統(tǒng)方案的研究</p><p>  本設(shè)計(jì)為了達(dá)到正弦波輸出頻率范圍1KHz~30MHz,輸出頻率予置最小步進(jìn)值為10Hz,輸出電壓幅度在負(fù)載電阻上的電壓峰-峰值Vopp≥6V,輸出信號頻率誤差優(yōu)于10-4,輸出幅度誤差優(yōu)于10-1 ,輸出波形用示波器觀察時無明顯失真等結(jié)果。</p><p>  本設(shè)計(jì)使用的DDS采用全數(shù)字的方式實(shí)現(xiàn)頻率合成,它具有以下特點(diǎn):</p&g

17、t;<p>  (1)頻率轉(zhuǎn)換快。直接數(shù)字頻率合成是一個開環(huán)系統(tǒng),無任何反饋環(huán)節(jié),其頻率轉(zhuǎn)換時間主要由頻率控制字狀態(tài)改變所需的時間及各電路的延時時間所決定,轉(zhuǎn)換時間很短。</p><p>  (2)頻率分辨率高、頻點(diǎn)數(shù)多。DDS輸出頻率的分辨率和頻點(diǎn)數(shù)隨相位累加器的位數(shù)的增長而呈指數(shù)增長,分辨率高達(dá)100MHz。</p><p>  (3)相位連續(xù)。DDS在改變頻率時只需改變

18、頻率控制字(即累加器累加步長),而不需改變原有的累加值,故改變頻率時相位是連續(xù)的。</p><p>  (4)相位噪聲小。DDS的相位噪聲主要取決于參考源的相位噪聲。</p><p>  (5)控制容易、穩(wěn)定可靠、性價比極高。</p><p>  目前生產(chǎn)新一代單片DDS集成芯片技術(shù)比較成熟的主要是美國模擬器件公司(Analog Devices)和摩托羅拉公司(Mo

19、torola)兩大公司的產(chǎn)品。在國內(nèi)使用得比較多的是美國模擬器件公司的產(chǎn)品,因?yàn)樵摴镜漠a(chǎn)品品種齊全,更新快,且向下兼容,設(shè)計(jì)人員可以按不同的要求選用不同的型號。本設(shè)計(jì)選用的是美國模擬器件公司的單片DDS集成器件AD9851。</p><p>  第2章 系統(tǒng)總體方案設(shè)計(jì)</p><p><b>  2.1方案選擇</b></p><p>  

20、在設(shè)計(jì)的過程中,我們綜合考慮有以下四種實(shí)現(xiàn)方案:</p><p>  方案一:采用555集成芯片函數(shù)發(fā)生器,555可以產(chǎn)生可變的正弦波來實(shí)現(xiàn)頻率控制,但由于產(chǎn)生的頻率較低,本方案將不采用。</p><p>  方案二:采用低溫漂、低失真、高線性單片壓控函數(shù)發(fā)生器ICL8038,產(chǎn)生頻率(0.001~300KHZ)可變的正弦波和數(shù)控頻率調(diào)整。但是,由于ICL8038自身的限制,輸出頻率穩(wěn)定

21、度只有10-3(RC振蕩器)。而且,由于壓控的非線性,頻率步進(jìn)的步長控制比較困難。</p><p>  方案三:采用MAX038函數(shù)發(fā)生器,MAX038是一個精密高頻波形產(chǎn)生器。能精密地產(chǎn)生正弦波信號。頻率范圍從0.1Hz~20MHz,最高可達(dá)40MHz,各種波形的輸出幅度的峰峰值均為2V。但由于此芯片目前已經(jīng)停產(chǎn),銷售的價格比較昂貴。</p><p>  方案四:采用DDS波形發(fā)生技術(shù),

22、采用AD9851和單片機(jī)相結(jié)合的方式實(shí)現(xiàn)對頻率的控制。AD9851內(nèi)部的控制字寄存器首先寄存來自外部的頻率、相位控制字,相位累加器接收來自控制字寄存器的數(shù)據(jù)后,決定最終輸出信號頻率和相位的范圍及精度.然后再經(jīng)過內(nèi)部D/A轉(zhuǎn)換器,得到最終的數(shù)字合成信號。這個高速DDS芯片時鐘頻率可達(dá)180MHz,輸出頻率可達(dá)70MHz,分辨率為0.04Hz。 </p><p>  綜合分析四種實(shí)現(xiàn)方法的性價比,選擇方案四,采用DD

23、S芯片AD9851來設(shè)計(jì)信號發(fā)生器。以51單片機(jī)為控制核心,一方面,對AD9851的頻率相位控制字進(jìn)行控制,產(chǎn)生所需要的波形。另一方面,對液晶LCD1602進(jìn)行控制,通過菜單的模式,選擇所需要的頻率輸出方式。采用獨(dú)立式鍵盤輸入相應(yīng)的操作命令,使得整個設(shè)計(jì)顯得更加直觀明了。</p><p><b>  2.2系統(tǒng)總體方案</b></p><p>  在本系統(tǒng)中,我們將會

24、進(jìn)行三個模塊來進(jìn)行設(shè)計(jì)。它們分別是控制模塊、DDS模塊、信號處理模塊。設(shè)計(jì)框圖如圖2.1所示。</p><p>  其中DDS模塊為本設(shè)計(jì)的核心部分,我們選取的芯片為AD9851??刂颇K包括鍵盤、單片機(jī)(STC89C52)、液晶顯示(LCD1602)。信號經(jīng)過鍵盤輸入,然后經(jīng)過單片機(jī)來控制DDS,最后經(jīng)過信號處理(放大電路)來得到所需要的波形。</p><p>  圖2.1 系統(tǒng)設(shè)計(jì)框圖

25、</p><p>  在本次設(shè)計(jì)中,總體方案上面已介紹,幾個重要的模塊我們在下一章將會詳細(xì)介紹。</p><p>  第3章 硬件電路具體設(shè)計(jì)</p><p>  3.1 基于AD9851的DDS模塊的設(shè)計(jì)</p><p>  3.1.1 DDS的結(jié)構(gòu)及工作原理</p><p>  直接數(shù)字頻率合成是采用數(shù)字化技術(shù),

26、通過控制相位的變化速度,直接產(chǎn)生各種不同頻率信號的一種頻率合成的方法。DDS的結(jié)構(gòu)原理圖如圖3.1所示,它由相位累加器、正弦ROM表、D/A轉(zhuǎn)換器等組成。參考時鐘f r由一個穩(wěn)定的晶體振蕩器產(chǎn)生,用它來同步整個合成器的各個組成部分。相位累加器由N位加法器與N位相位寄存器級聯(lián)構(gòu)成,類似于一個簡單的加法器。每來一個時鐘脈沖,加法器就將頻率控制字K與相位寄存器輸出的累加相位數(shù)據(jù)相加,然后把相加后的結(jié)果送至相位累加器的數(shù)據(jù)輸入端。相位寄存器就將

27、加法器在上一個時鐘作用后產(chǎn)生的新相位數(shù)據(jù)反饋到加法器的輸入端,以使加法器在下一個時鐘的作用下繼續(xù)將相位數(shù)據(jù)與頻率控制字相加。這樣,相位累加器在參考時鐘的作用下進(jìn)行線性相位累加。當(dāng)相位累加器累加滿量時,就會產(chǎn)生一次溢出,完成一個周期性的動作,這個周期就是合成信號的一個周期,累加器的溢出頻率也就是DDS的合成信號的頻率。</p><p>  圖3.1 DDS的結(jié)構(gòu)原理圖</p><p>  D

28、DS的工作原理:DDS的基本原理是利用采樣定量,通過查表法產(chǎn)生波形,在參考時鐘fr的控制下,頻率控制字K由累加器累加以得到相應(yīng)的相位數(shù)據(jù),把此數(shù)據(jù)作為取樣地址,來尋址正弦ROM表進(jìn)行相位-幅度變換,輸出不同的幅度編碼;再經(jīng)過D/A轉(zhuǎn)換器得到相應(yīng)的階梯波;最后經(jīng)低通濾波器對階梯波進(jìn)行平滑處理,即可得到由頻率控制字決定的連續(xù)變化的輸出正弦波。 DDS的輸出頻率f0、參考時鐘頻率fr、相位累加器長度N以及頻率控制字K之間的關(guān)系為:</p

29、><p><b> ?。?.1)</b></p><p>  DDS的頻率分辨率為:</p><p><b> ?。?.2)</b></p><p>  在理想情況下,DDS等效電路如下圖所示,圖中假設(shè)DDS相位累加器至波形存儲器間無截?cái)?累加器輸出與波形存儲器地址寬度相等)、波形存儲器容量無限、DAC

30、和LPF都是完全理想的。這樣DDS就等效為一個完全理想的采樣——保持電路。如圖3.2所示。 </p><p>  圖3.2 理想DDS等效電路</p><p><b>  其時域輸出為:</b></p><p><b>  (3.3)</b></p><p>  對其做傅氏變換得

31、到頻域響應(yīng):</p><p><b>  (3.4)</b></p><p>  由上式看出,理想DDS輸出頻譜中只有采樣時鐘及輸入頻率的混合產(chǎn)物,其主要雜波分量遵循Nyquist抽樣定理,雜波分量出現(xiàn)在參考頻率與輸出頻率的組合處,即:Nfc±fo(N=0,±1,±2,?)處。在fo處的信號最強(qiáng),距輸出頻率最近的雜波分量為fc—fo(如圖

32、3.3所示),而無新增頻率分量,在DAC之后只要接入一高性能低通濾波器,就可得到期望的輸出頻率。 </p><p>  圖3.3 DDS輸出頻譜分布</p><p>  3.1.2 AD9851芯片的簡介</p><p>  AD9851是美國AD公司采用先進(jìn)DDS (直接數(shù)字合成) 技術(shù),推出的具有高集成度DDS 電路的器件,它內(nèi)部包含高速、高性能D/ A轉(zhuǎn)換器及

33、高速比較器,可作為全數(shù)字編程控制的頻率合成器和時鐘發(fā)生器。外接精密時鐘源時,AD9851可以產(chǎn)生一個頻譜純凈、頻率和相位都可以編程控制且穩(wěn)定性很好的模擬正弦波,這個正弦波能夠直接作為基準(zhǔn)信號源或通過其內(nèi)部高速比較器轉(zhuǎn)換成方波輸出,作為靈敏時鐘產(chǎn)生器。</p><p><b>  其主要特性如下:</b></p><p>  (1) 單電源工作(+2.7~+5.25V)

34、 ;</p><p>  (2) 工作溫度范圍-45~85℃;</p><p>  (3) 低功耗,在180MHz 系統(tǒng)時鐘下,功率為555mW。電源設(shè)置有休眠狀態(tài),在該狀態(tài)下,功率為4mW;</p><p>  (4) 接口簡單,可用8位并行口或串行口直接輸入頻率,相位控制數(shù)據(jù);</p><p>  (5) 內(nèi)含6倍參考時鐘倍乘器,可避免對

35、外部高速參考時鐘振蕩器的需要,減小了由于外部頻率源過高而可能產(chǎn)生的相位噪聲;</p><p>  (6) 頻帶寬, 正常輸出工作頻率范圍為0~72MHz;</p><p>  (7) 頻率分辨率高,其創(chuàng)新式高速DDS碼可接受32位調(diào)頻字,使得它在180MHz系統(tǒng)時鐘下輸出頻率的精度可達(dá)0.04Hz;</p><p>  (8) 相位可調(diào),可接收來自單片機(jī)的5位相位控

36、制字。</p><p>  AD9851為28引腳表帖元件,其引腳排列如圖3.4所示。</p><p>  圖3.4 AD9851引腳排列圖</p><p>  AD9851 的各引腳功能如下:</p><p>  D0~D7 : 8位數(shù)據(jù)輸入口,可給內(nèi)部寄存器裝入40 位控制數(shù)據(jù)。</p><p>  PGND

37、 : 6倍參考時鐘倍乘器地。</p><p>  PVCC : 6倍參考時鐘倍乘器電源。</p><p>  W-CLK : 字裝入信號,上升沿有效。</p><p>  FQ-UD : 頻率更新控制信號,時鐘上升沿確認(rèn)輸入數(shù)據(jù)有效。</p><p>  REFCLOCK: 外部參考時鐘輸入。CMOS/ TTL脈沖序列可直接或

38、間接地加到6倍參考時鐘倍乘器上,在直接方式中,輸入頻率即是系統(tǒng)時鐘;在6倍參考時鐘倍乘器方式,系統(tǒng)時鐘為倍乘器輸出。</p><p>  AGND : 模擬地。</p><p>  AVDD : 模擬電源(+5V) 。</p><p>  DGND : 數(shù)字地。</p><p>  DVDD : 數(shù)字電源(+5V) 。

39、</p><p>  RSET : DAC 外部復(fù)位連接端。</p><p>  VOU TN : 內(nèi)部比較器負(fù)向輸出端。</p><p>  VOU TP : 內(nèi)部比較器正向輸出端。</p><p>  VINN : 內(nèi)部比較器的負(fù)向輸入端。</p><p>  VINP : 內(nèi)部比較器的正向輸

40、入端。</p><p>  DACBP : DAC 旁路連接端。</p><p>  IOU TB :“互補(bǔ)”DAC輸出。</p><p>  IOU T : 內(nèi)部DAC 輸出端。</p><p>  RESET : 復(fù)位端。高電平清除DDS 累加器和相位延遲器為0HZ和0°相位,同時置數(shù)據(jù)輸入為并行模式以及禁止6倍時

41、鐘倍頻。</p><p>  3.1.3 AD9851芯片的原理</p><p>  (1) AD9851的基本工作原理</p><p>  AD9851 的結(jié)構(gòu)圖(圖3.5),它主要包括相位寄存器、相位全加器、D/A轉(zhuǎn)換器,相位寄存器和相位全加器構(gòu)成相位累加器。</p><p>  AD9851 內(nèi)部的控制字寄存器首先寄存來自外部的頻率、相

42、位控制字,相位累加器接收來自控制字寄存器的數(shù)據(jù)后決定最終輸出信號頻率和相位的范圍和精度,經(jīng)過內(nèi)部D/A轉(zhuǎn)換器后,所得到的就是最終的數(shù)字合成信號,經(jīng)外圍低通濾波電路濾波后得到所要的波形。</p><p>  圖3.5 AD9851的結(jié)構(gòu)圖</p><p>  如果相位累加器的位數(shù)為N,相位控制字的值為Fn ,頻率控制字的位數(shù)為M ,頻率控制字的值為Fm,系統(tǒng)外部參考時鐘頻率為30MHz ,6

43、倍參考時鐘倍乘器使能,那么經(jīng)過內(nèi)部6倍參考時鐘倍乘器后,可得到AD9851內(nèi)部工作時鐘Fc為180MHz ,此時最終合成信號的頻率可由公式(3.5) 來決定,合成信號的相位由公式(3.6) 來決定。</p><p><b> ?。?.5)</b></p><p><b> ?。?.6)</b></p><p>  (2)

44、AD9851的控制方式</p><p>  AD9851 內(nèi)部有5個輸入寄存器,儲存來自外部數(shù)據(jù)總線的32位的頻率控制字,5位的相位控制字,一位6倍參考時鐘倍乘器使能控制字,一位電源休眠功能(Powerdown)控制和一位邏輯0。邏輯0是廠家設(shè)定參數(shù)專用使能位應(yīng)用時不能使能該位,否則會進(jìn)入廠家設(shè)定參數(shù)狀態(tài),只有通過復(fù)位才能退出該狀態(tài)。寄存器接收數(shù)據(jù)的方式有并行和串行兩種方式。</p><p&g

45、t;  串行方式如圖3.6 所示,W-CLK上升沿把引腳D7上的數(shù)據(jù)按位串行移入到輸入寄存器,40位輸入結(jié)束后,任何W-CLK上升沿到來都會造成數(shù)據(jù)順序移出并導(dǎo)致原來數(shù)據(jù)無效,此時FQ-UD端的上升脈沖就可以使40位數(shù)據(jù)更新芯片的輸出頻率和相位。</p><p>  圖3.6 串行工作方式時序圖</p><p>  并行方式如圖3.7所示,是通過8位數(shù)據(jù)總線D0~D7來完成全部40位控制數(shù)

46、據(jù)的輸入。復(fù)位信號RESET 有效會使輸入數(shù)據(jù)地址指針指向第一個輸入寄存器,W-CLK上升沿寫入第一組8位數(shù)據(jù),并把指針指向下一個輸入寄存器,連續(xù)5個W-CLK上升沿后,即完成全部40位控制數(shù)據(jù)的輸入,此后W-CLK信號的邊沿?zé)o效。當(dāng)FQ-UD上升沿到來之際40位數(shù)據(jù)會從輸入寄存器被寫入頻率和相位控制寄存器,更新DDS的輸出頻率和相位,同時把地址指針復(fù)位到第一個輸入寄存器,等待著下一組新數(shù)據(jù)的寫入。</p><p&g

47、t;  圖3.7 并行工作方式時序圖</p><p>  為了達(dá)到設(shè)計(jì)要求,本次采用并行工作方式。</p><p>  DDS模塊在設(shè)計(jì)中的電路圖如圖3.8所示。</p><p>  圖3.8 AD9851芯片的電路圖</p><p>  AD9851生成的模擬信號由IOUT、IOUTB端送出,該兩端對應(yīng)AD9851內(nèi)D/A轉(zhuǎn)換器的差分電流輸

48、出端,其滿度電流的大小由接在RSET端的電阻值大小決定,其公式為</p><p>  IOUTB=39.92/RSET (3.7)</p><p>  由于AD9851的IOUT、IOUTB端允許送出的最大滿度電流值為20mA,本設(shè)計(jì)取IOUT=10mA(對應(yīng)RSET=3.9KΩ)。為了將輸出電流轉(zhuǎn)換為電壓,IOUT、IOUTB端應(yīng)各接一個電阻,為了得到最好的SFDR性

49、能,這兩個電阻的阻值應(yīng)該相等。除此之外,AD9851對滿度輸出電壓范圍也有一定的限制(小于或者等于1.5V),因而,本設(shè)計(jì)取接在IOUT、IOUTB端的電阻值為100Ω,這樣,AD9851送出的滿度輸出電壓約為1V。</p><p><b>  3.2 控制模塊</b></p><p>  控制模塊包括三個部分,分別是單片機(jī)(本設(shè)計(jì)選取的是STC89C52)、鍵盤部分

50、(4個按鍵)、液晶顯示部分(LCD1602)。</p><p>  3.2.1 單片機(jī)STC89C52的簡介</p><p>  STC89C52是一種低功耗、高性能CMOS8位微控制器,具有8K在系統(tǒng)可編程Flash存儲器。在單芯片上,擁有靈巧的8 位CPU 和在系統(tǒng)可編程Flash,使得STC89C52為眾多嵌入式控制應(yīng)用系統(tǒng)提供高靈活、超有效的解決方案。具有以下標(biāo)準(zhǔn)功能:8k字節(jié)Fl

51、ash,512字節(jié)RAM, 32 位I/O 口線,看門狗定時器,內(nèi)置4KB EEPROM,MAX810復(fù)位電路,三個16位定時器/計(jì)數(shù)器,一個6向量2級中斷結(jié)構(gòu),全雙工串行口。另外 STC89C52可降至0Hz 靜態(tài)邏輯操作,支持2種軟件可選擇節(jié)電模式。空閑模式下,CPU 停止工作,允許RAM、定時器/計(jì)數(shù)器、串口、中斷繼續(xù)工作。掉電保護(hù)方式下,RAM內(nèi)容被保存,振蕩器被凍結(jié),單片機(jī)一切工作停止,直到下一個中斷或硬件復(fù)位為止。最高運(yùn)作頻

52、率35MHz,6T/12T可選。終上所述,本設(shè)計(jì)采用它作為控制AD9851。其引腳圖如圖3.9所示。</p><p>  圖3.9 STC89C52引腳圖</p><p>  3.2.2 STC89C52與AD9851的接口電路</p><p>  單片機(jī)控制DDS的電路圖如圖3.10所示。</p><p>  單片機(jī)與AD9851的接口采用

53、了總線方式。由于AD9851的W_CLK和FQ_UD信號對應(yīng)上升沿有效,因而單片機(jī)的WR、RD(低電平有效)控制信號分別與地址信號P20組合經(jīng)過或非門接至AD9851的W_CLK和FQ_UD端。</p><p>  圖3.10 AD9851與STC89C52的接口電路</p><p>  本電路中采用LQFP封裝44腳的單片機(jī),該系列單片機(jī)是51單片機(jī)中增強(qiáng)型單片機(jī),它體積小,工作穩(wěn)定可靠

54、,功耗低,抗干擾能力強(qiáng)等優(yōu)點(diǎn),使得本設(shè)計(jì)能順利地完成。本電路中主要使用了該單片機(jī)的P0、P1、P2以及部分P3口,P0口是與AD9851的8位數(shù)據(jù)口相連,對頻率、相位控制字進(jìn)行控制;P1口主要是和液晶的8位數(shù)據(jù)口相連接,以便對液晶進(jìn)行讀寫操作; P2口和獨(dú)立式鍵盤S1、S2、S3、S4相連接;P20和WR口、RD和WR口都經(jīng)過或非門再分別與AD9851的W_CLK、FQ_UD兩個控制引腳相連,以及14、15引腳與外部的無源晶振構(gòu)成系統(tǒng)振

55、蕩電路。以上即為STC89C52的引腳在本電路中的使用分配情況。</p><p>  其中單片機(jī)的復(fù)位電路和時鐘電路分別如下圖所示</p><p>  圖3.11 復(fù)位電路 圖3.12 時鐘電路</p><p>  復(fù)位電路:復(fù)位信號輸入端,高電平有效。當(dāng)此輸入端保持兩個機(jī)器周期的高電平時,就可以完成復(fù)位操作。有時系統(tǒng)在運(yùn)行過程中出現(xiàn)程序

56、跑飛的情況,在程序開發(fā)過程中,經(jīng)常需要手動復(fù)位。電路圖如圖3.11所示。</p><p>  時鐘電路:STC89C52單片機(jī)片內(nèi)有振蕩電路,只需外接石英晶體和頻率微調(diào)電容(2個30pF左右),其頻率范圍為1.2MHz~12MHz。該信號作為STC89C52工作的基本節(jié)拍即時間的最小單位。電路圖如圖3.12所示。</p><p>  3.2.3 鍵盤部分</p><p&

57、gt;  鍵盤部分采用的是4個獨(dú)立按鍵組成,其作用為輸入所需要的地址來控制單片機(jī)的輸出,其電路圖如圖3.13所示。</p><p>  圖3.13 按鍵電路</p><p>  3.2.4 液晶顯示部分</p><p>  系統(tǒng)中選用的1602帶有背光的液晶模塊,采用HD44780芯片作為控制器,是5x7點(diǎn)陣圖形顯示字符的液晶顯示器,它顯示的容量為2行16個字,具有

58、簡單而功能較強(qiáng)的指令集,可實(shí)現(xiàn)字符移動/閃爍等功能。液晶顯示器由于體積小、重量輕、功耗低等許多優(yōu)點(diǎn),日漸成為各種便攜式電子產(chǎn)品的理想顯示器。從液晶顯示器顯示內(nèi)容來分,可分為段式、字符式和點(diǎn)陣式三種。其中字符式液晶顯示器以其價廉、顯示內(nèi)容豐富、美觀、無須定制、使用方便等特點(diǎn)成為LED顯示器的理想替代品。字符型液晶顯示器專門用于顯示數(shù)字、字母、圖形符號并可顯示少量自定義的符號。這類顯示器把LCD控制器、點(diǎn)陣驅(qū)動器、字符存貯器等做在一塊板上,

59、有些甚至把字庫也集成在里面。再與液晶屏一起組成一個顯示模塊,因此,這類顯示器安裝與使用都較簡單。其微功耗、體積小、顯示內(nèi)容豐富、超薄輕巧的諸多優(yōu)點(diǎn),使它得到越來越廣泛的應(yīng)用。</p><p>  工業(yè)字符型液晶,能夠同時顯示16x02即32個字符(16列2行)。1602液晶也叫1602字符型液晶它是一種專門用來顯示字母、數(shù)字、符號等的點(diǎn)陣型液晶模塊它有若干個5X7或者5X11等點(diǎn)陣字符位組成,每個點(diǎn)陣字符位都可以

60、顯示一個字符。每位之間有一個點(diǎn)距的間隔每行之間也有間隔起到了字符間距和行間距的作用,正因?yàn)槿绱怂运荒茱@示圖形 。1602LCD是指顯示的內(nèi)容為16X2,即可以顯示兩行,每行16個字符液晶模塊(顯示字符和數(shù)字)。</p><p>  圖3.14 LCD1602液晶</p><p>  第1 腳:VSS為電源地。 </p><p>  第2 腳:VDD接5V電源正極

61、。 </p><p>  第3 腳:V0為液晶顯示器對比度調(diào)整端,接正電源時對比度最弱,接地電源時對比度最高(對比度過高時會 產(chǎn)生“鬼影”,使用時可以通過一個10K的電位器調(diào)整對比度)。</p><p>  第4 腳:RS為寄存器選擇,高電平1時選擇數(shù)據(jù)寄存器、低電平0時選擇指令寄存器。 </p><p>  第5 腳:RW為讀寫信號線,高電平(1)時進(jìn)行讀操作,低

62、電平(0)時進(jìn)行寫操作。 </p><p>  第6 腳:E(或EN)端為使能(enable)端。 </p><p>  第7~14腳:D0~D7為8位雙向數(shù)據(jù)端。 </p><p>  第15~16腳:空腳或背燈電源。15腳背光正極,16腳背光負(fù)極。</p><p>  3.2.5 液晶顯示與單片機(jī)的接口電路</p><

63、p>  1602LCD和單片機(jī)的接口電路如圖3.15所示。其中LCD的2、3、4口分別接單片機(jī)的TXD、RXD、WR口。</p><p>  圖3.15液晶顯示與單片機(jī)的接口電路</p><p>  1602LCD和單片機(jī)連接一般有兩種方式:直接連接和間接連接。直接連接就是把其口線連接到通用端口上,通過軟件模擬訪問LCD的讀寫時序進(jìn)行訪問。間接連接就是把他當(dāng)成一標(biāo)準(zhǔn)外設(shè)來訪問,即用單

64、片機(jī)產(chǎn)生的硬讀寫信號來訪問。本系統(tǒng)采用的是第一種連接方式,即直接連接方式。</p><p>  3.3 信號處理模塊</p><p>  為了讓輸出的帶寬達(dá)到10MHz,驅(qū)動能力在50Ω的負(fù)載上達(dá)到峰峰值大于6V(I大于等于120mA)的信號,我們選取的信號處理芯片為THS3091,該芯片具有高電壓、低失真、低噪聲,電路反饋放大器的特點(diǎn)。</p><p>  3.3

65、.1 THS3091芯片的簡介</p><p>  放大模塊選取的是THS3091芯片,該芯片具有高電壓、低失真、低噪聲,電路反饋放大器的特點(diǎn)。它的工作范圍在±5 V—±15 V。它的帶寬為210MHZ(G=2,R=100Ω),高輸出電流為±250mA。適合本設(shè)計(jì)的要求。其引腳圖如圖3.9所示。</p><p>  圖3.9 3091引腳圖</p>

66、<p>  各引腳的功能如下所示:</p><p><b>  NC:不連接</b></p><p><b>  VIN-:反向輸入</b></p><p><b>  VIN+:同向輸入</b></p><p><b>  Vs-:負(fù)電源</b&

67、gt;</p><p><b>  Vs+:正電源</b></p><p><b>  VOUT:輸出端</b></p><p>  3.3.2 基于THS3091的放大電路</p><p>  圖3.10 AD9851與THS3091組成的放大電路</p><p>  為了

68、讓在50Ω負(fù)載電阻上的電壓峰-峰值Vopp≥6V,我們選取1KΩ和8KΩ的電阻,放大8倍,讓輸出電壓幅度達(dá)到要求,此時的電壓應(yīng)選取±15V。電源端接的是一個電源去耦電路,其主要作用是濾除交流紋波。串聯(lián)10Ω的電阻起均流作用。</p><p>  第4章 系統(tǒng)的軟件設(shè)計(jì)</p><p>  4.1 軟件模塊設(shè)計(jì)</p><p>  本次設(shè)計(jì)的主要過程是通過

69、鍵盤輸入信號,與之連接的單片機(jī)接到信號后,然后通過液晶顯示,單片機(jī)輸出的信號經(jīng)過控制字的轉(zhuǎn)換給到AD9851,最后經(jīng)過信號處理完成輸出。其軟件部分主要包括系統(tǒng)初始化、判斷按鍵、設(shè)置頻率,其流程圖如圖4.1 所示。</p><p><b>  圖4.1軟件流程圖</b></p><p>  4.2 應(yīng)用軟件簡介</p><p>  本次設(shè)計(jì)的軟件

70、包括編寫程序的Keil軟件、模擬電路的Protel99軟件以及visio畫圖軟件等,很好的提高了設(shè)計(jì)效率。下面將簡要介紹前兩種。</p><p>  4.2.1 Keil簡介</p><p>  Keil軟件是目前最流行開發(fā)系列單片機(jī)的軟件,Keil提供了包括C編譯器、宏匯編、連接器、庫管理和一個功能強(qiáng)大的仿真調(diào)試器等在內(nèi)的完整開發(fā)方案,通過一個集成開發(fā)環(huán)境(uVision)將這些部份組合

71、在一起。</p><p><b> ?。?)建立工程文件</b></p><p>  點(diǎn)擊“Project->New project”菜單,出現(xiàn)一個對話框,要求給將要建立的工程起一個名字,你可以在編緝框中輸入一個名字,點(diǎn)擊“保存”按鈕,出現(xiàn)第二個對話框,按要求選擇目標(biāo)器件片。</p><p>  建立新文件并增加到組。分別設(shè)置“targe

72、t1”中的 “Target,output,debug”各項(xiàng),使程序匯編后產(chǎn)生HEX文件。</p><p> ?。?)C程序,調(diào)試系統(tǒng)程序</p><p>  Keil單片機(jī)模擬調(diào)試軟件內(nèi)集成了一個文本編輯器,用該文本編輯器可以編輯源程序。在集成開發(fā)環(huán)境中選擇菜單“File → New...”、單擊對應(yīng)的工具按鈕或者快捷鍵Ctrl +N 將打開一個新的文本編輯窗口,完成匯編語言源文件的輸入,

73、并且完成源程序向當(dāng)前工程的添加。</p><p>  然后在集成開發(fā)環(huán)境中選擇菜單“File→Save As...”可以完成文件的第一次存儲。注意,C語言源文件的擴(kuò)展名應(yīng)該是“.c”,它應(yīng)該與工程文件存儲在同一文件夾之內(nèi)。在完成文件的第一次存儲以后,當(dāng)對C語言源文件又進(jìn)行了修改,再次存儲文件則應(yīng)該選擇菜單“File→Save”、單擊對應(yīng)的工具按鈕或者快捷鍵Ctrl +S 實(shí)現(xiàn)文件的保存。</p>&

74、lt;p>  接著的工作需要把匯編語言源文件加入工程之中。選擇工程管理器窗口的子目“Source Group 1”,再單擊鼠標(biāo)右鍵打開快捷菜單。在快捷菜單中選擇“Add File to Group ‘Source Group 1’”,加入文件對話框被打開。雙擊要加入的文件名或者選擇要加入的文件名再單擊“Add”按鈕即可完成把C語言源文件加入工程。</p><p> ?。?)編譯源程序,出現(xiàn)錯誤時,返回上一級

75、對錯誤更改后重新編譯,直到?jīng)]有錯誤為止。</p><p>  4.2.2 Protel99SE簡介</p><p>  Protel99SE由兩大部分組成:電路原理圖設(shè)計(jì)(Advanced Schematic)和多層印刷電路板設(shè)計(jì)(Advanced PCB)。其中Advanced Schematic由兩部分組成:電路圖編輯器(Schematic)和元件庫編輯器(Schematic Libr

76、ary)。</p><p>  進(jìn)入Design Protel99se后在Documents中通過右鍵 “New” 建立 “Schematic Document”文件,打開后即可進(jìn)行電路原理圖的編輯。先按照已畫好的電路草圖將所有元件找到拖放到編輯框里。將編輯框縮小,將元件照電路的樣子搭好,整體上排列勻稱。接下來就可以進(jìn)行局部的連線了?;蛘呖梢韵葘㈦娐返母鱾€模塊先搭好,再通過框定各模塊平移組合成完整的電路。<

77、/p><p><b>  結(jié) 論</b></p><p>  經(jīng)過精心的設(shè)計(jì)和研究,發(fā)現(xiàn)基于AD9851芯片為核心的 DDS信號發(fā)生器,基本可以達(dá)到10Hz的精度,輸出頻率范圍可達(dá)1KHz~30MHz,輸出幅度Vopp≥6V,輸出信號頻率誤差:優(yōu)于10-4;輸出幅度誤差:優(yōu)于10-1;輸出波形用示波器觀察時無明顯失真。很好地達(dá)到了設(shè)計(jì)要求。具有一定的實(shí)用和推廣價值。&l

78、t;/p><p>  本次設(shè)計(jì)讓我學(xué)會了腳踏實(shí)地、實(shí)事求是、不怕困難、堅(jiān)持不懈。它為我能夠適應(yīng)社會打好了基礎(chǔ),我想這是一次意志的磨練,是對我能力的一種考驗(yàn),也是對我未來的學(xué)習(xí)和工作有很大的幫助。</p><p><b>  參考文獻(xiàn)</b></p><p>  [1] 趙茂泰.智能儀器原理及應(yīng)用[第3版].北京:電子工業(yè)出版社,2009.</

79、p><p>  [2] 陳尚松.電子測量與儀器[第2版].北京:電子工業(yè)出版社,2007 .</p><p>  [3] Analog Devices,Inc CMOS 180MHz DDS/DAC Synthesizer AD9851 data sheet 1999.</p><p>  [4] 王學(xué)鳳.基于DDS芯片AD9851的信號源設(shè)計(jì)與實(shí)現(xiàn).微計(jì)算機(jī)信息,20

80、08/22.</p><p>  [5] 郭勇.DDS芯片AD9851及其應(yīng)用.電子技術(shù), 2001/02.</p><p>  [6] 單片機(jī)C語言Windows環(huán)境編程寶典.北京航空航天大學(xué)出版社, 2003.</p><p>  [7] 李維諟.液晶顯示應(yīng)用手冊.北京:電子工業(yè)出版社 ,2002.</p><p>  [8] 實(shí)用電子電

81、路手冊編寫組.實(shí)用電子電路手冊(數(shù)字電路分冊)高等教育出版社.</p><p>  [9] 全國大學(xué)生電子設(shè)計(jì)競賽組委會.第五屆全國大學(xué)生電子設(shè)計(jì)競賽獲獎作品 選編.北京理工大學(xué)出版社,2001.</p><p><b>  附錄一</b></p><p><b>  附錄二</b></p>

82、;<p>  void time () interrupt 1</p><p><b>  {</b></p><p>  TH0=(65536-Sn)/256;</p><p>  TL0=(65536-Sn)%256;</p><p>  S_Freq+=S_bjz2;</p><p

83、>  if(S_Freq>S_L)</p><p><b>  { </b></p><p>  S_Freq=S_F;</p><p><b>  }</b></p><p>  Set_Freq(S_Freq);</p><p><b>

84、;  if(s1==0)</b></p><p><b>  {</b></p><p>  Delay1ms(2);</p><p><b>  if(s1==0)</b></p><p><b>  {</b></p><p>  TR0

85、=0;SF=0;ST=0;</p><p>  while(!s1);</p><p><b>  }</b></p><p><b>  }</b></p><p><b>  }</b></p><p>  這個函數(shù)被下面發(fā)送控制字函數(shù)調(diào)用;<

86、/p><p>  void Parallel_AD9851()</p><p><b>  { </b></p><p>  DDS_Data=Control_AD9851;_nop_();_nop_();_nop_();_nop_();//延時很重要,對時序</p><p>  DDS_WK=1;//字裝入信號,上升

87、沿有效</p><p><b>  DDS_WK=0;</b></p><p>  DDS_Data=W1;_nop_();_nop_();_nop_();_nop_();_nop_();</p><p>  DDS_WK=1;//字裝入信號,上升沿有效</p><p><b>  DDS_WK=0;</

88、b></p><p>  DDS_Data=W2;_nop_();_nop_();_nop_();_nop_();_nop_();</p><p>  DDS_WK=1;//字裝入信號,上升沿有效</p><p><b>  DDS_WK=0;</b></p><p>  DDS_Data=W3;_nop_();_

89、nop_();_nop_();_nop_();_nop_();</p><p>  DDS_WK=1;//字裝入信號,上升沿有效</p><p><b>  DDS_WK=0;</b></p><p>  DDS_Data=W4;_nop_();_nop_();_nop_();_nop_();_nop_();</p><p&

90、gt;  DDS_WK=1;//字裝入信號,上升沿有效</p><p><b>  DDS_WK=0;</b></p><p><b>  DDS_FD=1;</b></p><p><b>  DDS_FD=0;</b></p><p><b>  }</b&

91、gt;</p><p>  單片機(jī)向AD9851發(fā)送控制字程序:</p><p>  void Set_Freq(float Freqency)</p><p><b>  { </b></p><p>  DDS_Freq= (ulong)(23.86115*Freqency); // SYSCLK = 1

92、80 MHz</p><p>  // DDS_Freq = (unsigned long)(23.86092942*Freqency);由于float數(shù)據(jù)類型的有效位為7位</p><p>  W4=(uchar)DDS_Freq&0xff;</p><p>  DDS_Freq=DDS_Freq>>8;</p><p>

93、;  W3=(uchar)DDS_Freq&0xff;</p><p>  DDS_Freq=DDS_Freq>>8;</p><p>  W2=(uchar)DDS_Freq&0xff;</p><p>  DDS_Freq=DDS_Freq>>8;</p><p>  W1=(uchar)DDS_F

94、req&0xff;</p><p><b>  后 記</b></p><p>  本人在設(shè)計(jì)過程中,得到了指導(dǎo)老師**老師耐心的指導(dǎo)和幫助,在理論分析和實(shí)踐設(shè)計(jì)時給予許多專業(yè)知識方面的指導(dǎo),并提供許多寶貴的經(jīng)驗(yàn)和技術(shù)資料,在畢業(yè)論文寫作過程中提出了許多建設(shè)性意見,同時也得到**等同學(xué)熱心幫助,我才能較順利的完成本次畢業(yè)設(shè)計(jì),在此忠心感謝趙老師的耐心輔導(dǎo),感謝

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論