2023年全國碩士研究生考試考研英語一試題真題(含答案詳解+作文范文)_第1頁
已閱讀1頁,還剩26頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡介

1、<p>  課 程 設(shè) 計(jì) 報(bào) 告</p><p>  課程設(shè)計(jì)名稱:單片機(jī)系統(tǒng)綜合課程設(shè)計(jì)</p><p>  課程設(shè)計(jì)題目:四路搶答器的設(shè)計(jì)與實(shí)現(xiàn)</p><p>  院(系):計(jì)算機(jī)學(xué)院</p><p><b>  專 業(yè):</b></p><p><b>  班

2、 級(jí):</b></p><p><b>  學(xué) 號(hào):</b></p><p><b>  姓 名:</b></p><p><b>  指導(dǎo)教師: </b></p><p><b>  完成日期: </b></p>

3、<p><b>  目 錄</b></p><p>  第1章 總體設(shè)計(jì)方案1</p><p>  1.1 設(shè)計(jì)原理1</p><p>  1.2 設(shè)計(jì)思路1</p><p>  1.3 實(shí)驗(yàn)環(huán)境2</p><p>  第2章 詳細(xì)設(shè)計(jì)方案3</p>

4、;<p>  2.1 硬件電路設(shè)計(jì)3</p><p>  2.2 主程序設(shè)計(jì)6</p><p>  2.2 功能模塊的設(shè)計(jì)與實(shí)現(xiàn)7</p><p>  第3章 結(jié)果測試及分析12</p><p>  3.1 結(jié)果測試12</p><p>  3.2 結(jié)果分析12</p>

5、<p><b>  參考文獻(xiàn)13</b></p><p><b>  附 錄14</b></p><p><b>  Ⅰ源程序14</b></p><p> ?、蛑饕骷鍐?2</p><p><b>  Ⅲ電路圖23</b>

6、;</p><p>  第1章 總體設(shè)計(jì)方案</p><p><b>  1.1 設(shè)計(jì)原理</b></p><p>  根據(jù)課程設(shè)計(jì)任務(wù)書的內(nèi)容與要求,要實(shí)現(xiàn)四路搶答器的設(shè)計(jì)。主持人按下?lián)尨痖_始開關(guān),數(shù)碼管從10s開始倒計(jì)時(shí),此時(shí)選手可以搶答,相應(yīng)的LED亮,單數(shù)碼管顯示其選手號(hào),雙數(shù)碼管從30s開始進(jìn)行答題倒計(jì)時(shí),答題時(shí)間到則單數(shù)碼管閃

7、爍顯示選手號(hào)5次,再回到初始狀態(tài),若時(shí)間到但沒有人搶答則回到初始狀態(tài)(所有燈滅,檢測主持鍵是否按下)。若主持人尚在念題還未按下?lián)尨痖_始開關(guān),某選手違規(guī)搶答,則單數(shù)碼管閃爍顯示其選手號(hào)5次后回到初始狀態(tài)。一共設(shè)置六個(gè)開關(guān),用按鍵開關(guān)輸入搶答信號(hào),經(jīng)單片機(jī)的處理, 輸出控制信號(hào)。硬件部分主要由單片機(jī)8031、數(shù)碼管、按鍵開關(guān)、LED小燈和若干導(dǎo)線組成。</p><p><b>  1.2 設(shè)計(jì)思路<

8、/b></p><p>  采用C語言程序設(shè)計(jì)結(jié)合硬件電路設(shè)計(jì)方法,利用偉福Lab6000實(shí)驗(yàn)箱上已有芯片8031來實(shí)現(xiàn)四路搶答器的設(shè)計(jì),其中包括主持人按鍵及各功能鍵的設(shè)計(jì)、觸發(fā)中斷定時(shí)、數(shù)碼管動(dòng)態(tài)顯示、相應(yīng)的LED燈亮滅的情況。</p><p><b> ?。?)提出方案</b></p><p>  首先,設(shè)置一個(gè)主持人按鍵開關(guān),當(dāng)主持

9、人按下開始鍵后,觸發(fā)定時(shí)器T0進(jìn)行10s搶答倒計(jì)時(shí),若有選手按下?lián)尨疰I則觸發(fā)定時(shí)器T1進(jìn)行30s答題倒計(jì)時(shí)。同時(shí),與選手相對應(yīng)的LED燈亮、數(shù)碼管顯示選手號(hào);若30s內(nèi)選手沒有答題完畢,則數(shù)碼管閃爍其選手號(hào)5次。其次,若10秒內(nèi)沒有選手按下?lián)尨鹌?,則回到初始狀態(tài),進(jìn)行下一題搶答。最后,若主持人沒有按下開始鍵就有選手按下?lián)尨疰I,則數(shù)碼管將會(huì)閃爍顯示其選手號(hào)5次后回到初始狀態(tài)。</p><p><b>  

10、(2) 方案論證</b></p><p>  四路搶答器設(shè)計(jì)的方案論證如下:</p><p>  首先,設(shè)計(jì)6個(gè)按鍵,分別為主持人開始鍵start、清零鍵clear,選手搶答鍵key1~key4,6個(gè)按鍵分別接在8031的P0.0~ P0.5處。只有主持人按下start鍵后才可以開始搶答,否則屬于違規(guī)搶答。clear鍵是選手在30秒內(nèi)答題結(jié)束,主持按下clear鍵,系統(tǒng)自動(dòng)回到

11、初始狀態(tài)。 </p><p>  其次,將8031的P3.0~ P3.3口分別連接4個(gè)LED小燈,當(dāng)有選手按下?lián)尨疰I時(shí)與其相對應(yīng)的LED小燈亮(初始狀態(tài)時(shí)4個(gè)LED燈是熄滅狀態(tài))。</p><p>  再次,使用8031,將其內(nèi)部的定時(shí)器T0設(shè)置為10秒倒計(jì)時(shí),當(dāng)主持人按下開始鍵后立即啟動(dòng)定時(shí)器T0;并將定時(shí)器T1設(shè)置為30秒倒計(jì)時(shí),若有選手按下?lián)尨疰I后立即啟動(dòng)定時(shí)器T1,開始30秒答題倒

12、計(jì)時(shí)。</p><p>  最后,將8031的片選端CS連接到數(shù)碼管的LED/CS/端,最右端的雙數(shù)碼管用來顯示倒計(jì)時(shí)時(shí)間,右端起第三個(gè)數(shù)碼管顯示選手號(hào)。當(dāng)若有選手違規(guī)搶答,則單數(shù)碼管會(huì)閃爍其選手號(hào)5次;若選手在30秒內(nèi)沒有答題結(jié)束,則單數(shù)碼管也會(huì)閃爍其選手號(hào)5次。</p><p>  如果10秒定時(shí)時(shí)間已到,沒有人搶答,表示本次搶答無效,系統(tǒng)將會(huì)自動(dòng)恢復(fù)到初始狀態(tài),繼續(xù)進(jìn)行新一輪答題。并

13、且該設(shè)計(jì)使用8031單片機(jī)作為控制系統(tǒng)核心??梢詫?shí)現(xiàn)信號(hào)識(shí)別,控制以及在數(shù)碼管上顯示結(jié)果等功能。</p><p>  四路搶答器的設(shè)計(jì),由芯片初始化模塊、按鍵模塊、計(jì)時(shí)模塊、顯示模塊四部分組成,按鍵模塊和顯示模塊通過若干導(dǎo)線與控制模塊相連接。當(dāng)主持人宣布搶答開始的時(shí)候,按下開始按鍵,此時(shí)系統(tǒng)進(jìn)入搶答狀態(tài),若選手按下?lián)尨疰I,相應(yīng)的信息送往單片機(jī),再由單片機(jī)輸出到顯示數(shù)碼管上。第一個(gè)按下?lián)尨鸢存I的選手信息,經(jīng)過單片機(jī)

14、的控制,在數(shù)碼管上顯示相應(yīng)的號(hào)碼,并鎖存,同時(shí)禁止其他選手按鍵的輸入。</p><p><b>  1.3 實(shí)驗(yàn)環(huán)境</b></p><p>  ·硬件環(huán)境:偉福Lab6000實(shí)驗(yàn)箱,PC機(jī)。</p><p>  ·軟件環(huán)境:WAVE6000應(yīng)用軟件。</p><p>  第2章 詳細(xì)設(shè)計(jì)方案&l

15、t;/p><p>  2.1 硬件電路設(shè)計(jì)</p><p> ?。?)按鍵電路的設(shè)計(jì)</p><p>  開關(guān)電路共設(shè)置了6個(gè)按鍵,分別與8031的P1.0-P1.5相連。初始狀態(tài)時(shí)開關(guān)都接低電平,按下按鍵時(shí)向單片機(jī)輸入高電平信號(hào)。按鍵電路圖如圖2.1所示。</p><p>  圖2.1 按鍵電路圖</p><p> 

16、 (2)8031電路的設(shè)計(jì)</p><p>  8031的EA端接電源,X1、X2端接晶振電路,RESET端接復(fù)位電路。8031電路圖如圖2.2所示:</p><p>  圖2.2 8031電路圖</p><p> ?。?)LED燈電路的設(shè)計(jì)</p><p>  74LS245的15-18引腳分別與8031的12-15引腳相連,初始狀態(tài)所有

17、的LED小燈是熄滅狀態(tài)。當(dāng)有選手搶答成功時(shí),與其相對應(yīng)的LED燈會(huì)點(diǎn)亮。LED燈電路圖如圖2.3所示:</p><p>  圖2.3 LED燈電路圖</p><p> ?。?)數(shù)碼管顯示電路的設(shè)計(jì)</p><p>  數(shù)碼管顯示電路首先送入OUT_BIT位選信號(hào),讓后送入OUT_SEG段碼數(shù)據(jù)信號(hào),這時(shí)數(shù)碼管上就會(huì)顯示出選手號(hào)和相應(yīng)的計(jì)時(shí)時(shí)間。數(shù)碼管顯示電路圖如圖

18、2.4所示:</p><p>  圖2.4 數(shù)碼管顯示電路圖</p><p> ?。?)譯碼、鎖存電路的設(shè)計(jì)</p><p>  74LS373鎖存器的D0-D7引腳分別與8031的P0.0-P0.7引腳相連,8031的P2.4—-P2.7分別與74LS138譯碼器的1-3引腳相連。74LS373鎖存器和74LS138譯碼器將信號(hào)鎖存和譯碼后將其送到數(shù)碼管顯示電路

19、中去。譯碼、鎖存電路圖如圖2.5所示:</p><p>  圖2.5 譯碼、鎖存電路圖</p><p>  2.2 主程序設(shè)計(jì)</p><p>  主持人讀完題目后,按下start鍵,此時(shí)啟動(dòng)定時(shí)器T0,開始10秒倒計(jì)時(shí)。若在10秒鐘內(nèi)有選手搶答則立即啟動(dòng)定時(shí)器T1,開始30秒答題倒計(jì)時(shí)。30秒內(nèi)答題結(jié)束那么主持人按下clear鍵回到初始狀態(tài)進(jìn)行下一輪答題;若1

20、0秒內(nèi)無人搶答則系統(tǒng)回到初始狀態(tài)行下一輪答題;若主持人沒有按start鍵,有選手搶答則數(shù)碼管閃爍其選手號(hào)5次后回到初始狀態(tài)行下一輪答題;若選手在30秒內(nèi)沒有答題結(jié)束,則數(shù)碼管會(huì)閃爍其選手號(hào)5次后回到初始狀態(tài)行下一輪答題。主程序流程圖如圖2.6所示。</p><p>  圖2.6 主程序流程圖</p><p>  2.2 功能模塊的設(shè)計(jì)與實(shí)現(xiàn)</p><p>  

21、對8031中的定時(shí)器T0、T1進(jìn)行初始化。利用開關(guān)向8031輸入高低電平信號(hào),單片機(jī)對信號(hào)進(jìn)行處理,控制LED燈亮滅,同時(shí)觸發(fā)各定時(shí)器來實(shí)現(xiàn)計(jì)時(shí)功能,利用數(shù)碼管進(jìn)行顯示,將計(jì)時(shí)時(shí)間和選手號(hào)碼顯示在七段數(shù)碼管上。</p><p> ?。?)芯片初始化模塊的設(shè)計(jì)與實(shí)現(xiàn)</p><p>  對8031中的定時(shí)器T0、T1進(jìn)行初始化,選擇定時(shí)器T0和T1的工作方式為方式1,初值設(shè)置為0X3C、0X

22、B0。芯片初始化模塊流程圖如圖2.7所示:</p><p>  圖2.7 芯片初始化模塊流程圖</p><p>  (2)按鍵模塊的設(shè)計(jì)與實(shí)現(xiàn)</p><p>  設(shè)置6個(gè)按鍵,分別為開始鍵、清零鍵以及四個(gè)選手按鍵,只有當(dāng)主持人按下開始鍵時(shí),才允許選手按下?lián)尨疰I;如果主持人尚在念題并沒有按下開始鍵就有選手按下?lián)尨疰I,則視為違規(guī)操作;清零鍵將所有信息清零,回到初始狀

23、態(tài)。按鍵模塊流程圖如圖2.8所示:</p><p>  圖2.8 按鍵模塊流程圖</p><p>  (3)計(jì)時(shí)模塊的設(shè)計(jì)與實(shí)現(xiàn)</p><p>  主持人按下開始鍵后,立即啟動(dòng)定時(shí)器T0,開始10秒搶答倒計(jì)時(shí);在10秒內(nèi)有選手按下?lián)尨疰I,立即啟動(dòng)定時(shí)器T1,開始30秒答題倒計(jì)時(shí)。若10秒內(nèi)無人搶答,則回到初始狀態(tài);若主持人沒有按下開始鍵有選手按下?lián)尨疰I則表示選手

24、違規(guī)操作,數(shù)碼管閃爍其選手號(hào)5次;若30秒內(nèi)沒有結(jié)束答題則數(shù)碼管也會(huì)閃爍選手號(hào)5次;并且搶答成功是與選手相對應(yīng)的LED燈。計(jì)時(shí)模塊流程圖如圖2.9所示: </p><p>  圖2.9 計(jì)時(shí)模塊流程圖</p><p> ?。?)顯示模塊的設(shè)計(jì)與實(shí)現(xiàn)</p><p>  將8031的片選端CS連接到數(shù)碼管的LED/CS/端,利用七段共陰數(shù)碼管對定時(shí)器產(chǎn)生的信息進(jìn)行顯

25、示。最右端的雙數(shù)碼管用來顯示倒計(jì)時(shí)時(shí)間,右端起第三個(gè)數(shù)碼管顯示選手號(hào)。首先進(jìn)行位選,選通后,通過段選送入段碼數(shù)據(jù)。顯示模塊流程圖如圖2.10所示:</p><p>  圖2.10 顯示模塊流程圖</p><p>  第3章 結(jié)果測試及分析</p><p>  3.1 結(jié)果測試 <

26、/p><p>  首先,當(dāng)主持人念題結(jié)束,主持人會(huì)按下開始鍵,此時(shí)可以看到最右端的雙數(shù)碼管開始從10秒倒計(jì)時(shí)(若10秒內(nèi)無人搶答,則會(huì)到初始狀態(tài),進(jìn)行下一題的搶答),這時(shí)四位選手可以開始進(jìn)行搶答。假如2號(hào)選手最先按下?lián)尨疰I,則最右端起第三個(gè)數(shù)碼管會(huì)一直顯示其選手號(hào)為2,并且與2號(hào)選手相對應(yīng)的LED2小燈會(huì)點(diǎn)亮,與此同時(shí)最右端的雙數(shù)碼管開始了30秒鐘的答題倒計(jì)時(shí)(在這30秒內(nèi),即使除2號(hào)選手的其他選手按鍵,系統(tǒng)也不會(huì)做

27、任何處理);當(dāng)2號(hào)選手在30秒內(nèi)答題結(jié)束,主持人會(huì)按下清零鍵,這時(shí)LED2會(huì)熄滅,同時(shí)數(shù)碼管會(huì)全部清零回到初始狀態(tài)。其次,若主持人尚在念題中,沒有按下開始鍵時(shí),假如這時(shí)3號(hào)選手按下了搶答鍵,則單數(shù)碼管會(huì)閃爍其選手號(hào)5次后,系統(tǒng)自動(dòng)回到初始狀態(tài)。最后,若2號(hào)選手在30秒內(nèi)沒有結(jié)束答題,則單數(shù)碼管會(huì)閃爍5次其選手號(hào)來提示其答題時(shí)間已到,請結(jié)束答題,提示結(jié)束后系統(tǒng)自動(dòng)回到初始狀態(tài),等待下一輪答題。</p><p>&l

28、t;b>  3.2 結(jié)果分析</b></p><p>  對于上述的運(yùn)行結(jié)果和操作過程,達(dá)到了課程設(shè)計(jì)任務(wù)書中的要求。所有選手按鍵與主持按鍵都是鍵盤輸入,數(shù)碼管和LED小燈也能夠完全的顯示出準(zhǔn)確的信息。并且系統(tǒng)可以循環(huán)的執(zhí)行,只有將系統(tǒng)關(guān)閉方可停止執(zhí)行。計(jì)時(shí)時(shí)間設(shè)計(jì)的也是十分精確,誤差相對很小,并且系統(tǒng)的可靠性十分高,不會(huì)出現(xiàn)錯(cuò)誤。出現(xiàn)違規(guī)操作時(shí),系統(tǒng)能夠十分準(zhǔn)確的進(jìn)行信息提示。所有的顯示都通

29、過LED燈和數(shù)碼管顯示,看起來很直觀、清晰,程序?qū)崿F(xiàn)了任務(wù)上的所有要求,達(dá)到了本次課設(shè)要求。</p><p><b>  參考文獻(xiàn)</b></p><p>  [1] 譚浩強(qiáng).C語言程序設(shè)計(jì)[M].北京:清華大學(xué)出版社,2005</p><p>  [2] 王敬華 林萍 張清國[M].C語言程序設(shè)計(jì)教程.北京:清華大學(xué)出版社,2005</

30、p><p>  [3]龔尚福.微機(jī)原理與接口技術(shù) [M]. 西安:西安電子科技大學(xué)出版社,2003</p><p>  [4]王中民.微型計(jì)算機(jī)原理 [M]. 西安:西安電子科技大學(xué)出版社,2003</p><p>  [5]何立民.單片機(jī)與嵌入式系統(tǒng)應(yīng)用 [M]. 北京:北京航空航天大學(xué)出版社,2010</p><p>  [6]武雅麗.C語言

31、程序設(shè)計(jì) [M]. 北京:清華大學(xué)出版社,2007</p><p>  [7]陳玉梅.全國大學(xué)生電子設(shè)計(jì)競賽獲獎(jiǎng)作品匯編 [M]. 北京:北京理工大學(xué)出版社,2004</p><p><b>  附 錄</b></p><p><b> ?、裨闯绦?lt;/b></p><p>  #include&

32、lt;reg51.h></p><p>  #define uchar unsigned char</p><p>  #define uint unsigned int</p><p>  xdata unsigned char OUTBIT _at_ 0X8002;</p><p>  xdata unsigned char OUT

33、SEG _at_ 0X8004;</p><p>  uchar tabseg[]={0X3F,0X06,0X5B,0X4F,0X66,0X6D,0X7D,0X07,0X7F,0X6F,0X00};</p><p><b>  //定義段碼</b></p><p>  uchar tabbit[]={1,2,4,8,16,32}; //選位&

34、lt;/p><p>  uchar Sec1=30,Sec0=10,j=0,s=0;</p><p>  sbit start=P1^0;//開始鍵</p><p>  sbit key1=P1^1;</p><p>  sbit key2=P1^2;</p><p>  sbit key3=P1^3;</p&g

35、t;<p>  sbit key4=P1^4;//key1到key4為選手按鍵</p><p>  sbit clear=P1^5; //清零鍵</p><p>  sbit aa=P3^0; //LED小燈</p><p>  sbit bb=P3^1;</p><p>  sbit cc=P3^2;<

36、/p><p>  sbit dd=P3^3;</p><p>  void delay() //延時(shí)子函數(shù)</p><p><b>  {</b></p><p><b>  uint k;</b></p><p>  for(k=0;k<125;k++);<

37、/p><p><b>  }</b></p><p>  void delays()</p><p>  { uint n;</p><p>  for(n=0;n<125;n++)</p><p><b>  delay();</b></p><p&

38、gt;<b>  }</b></p><p>  void Print(int a,int b) //數(shù)碼管顯示子函數(shù)</p><p><b>  {</b></p><p>  OUTBIT=tabbit[a];</p><p>  OUTSEG=tabseg[b];</p>

39、;<p><b>  delay();</b></p><p><b>  }</b></p><p>  void System_Init(void) //初始化子函數(shù)</p><p><b>  {</b></p><p>  TMOD=0X11;<

40、;/p><p><b>  TH0=0X3C;</b></p><p><b>  TL0=0XB0;</b></p><p><b>  TH1=0X3C;</b></p><p><b>  TL1=0XB0;</b></p><p&g

41、t;  EA=1;//中斷控制寄存器IE</p><p><b>  ET0=1;</b></p><p><b>  ET1=1;</b></p><p><b>  }</b></p><p>  void Timer1(void) interrupt 3 //定時(shí)器1

42、中斷服務(wù)子函數(shù)</p><p><b>  {</b></p><p><b>  TH1=0X3C;</b></p><p><b>  TL1=0XB0;</b></p><p><b>  j++;</b></p><p>&

43、lt;b>  if(j==10)</b></p><p><b>  {</b></p><p><b>  j=0;</b></p><p><b>  Sec1--;</b></p><p><b>  }</b></p>

44、<p><b>  }</b></p><p>  void Timer0(void) interrupt 1 //定時(shí)器0中斷服務(wù)子函數(shù)</p><p><b>  {</b></p><p><b>  TH0=0X3C;</b></p><p><

45、;b>  TL0=0XB0;</b></p><p><b>  j++;</b></p><p><b>  if(j==10)</b></p><p><b>  {</b></p><p><b>  j=0;</b></p&

46、gt;<p><b>  Sec0--;</b></p><p><b>  }</b></p><p><b>  }</b></p><p>  void clr() //清零函數(shù)</p><p><b>  {</b></p

47、><p>  Print(0,0);</p><p>  Print(1,0);</p><p>  Print(2,0);</p><p>  aa=0;bb=0;cc=0;dd=0;</p><p><b>  }</b></p><p>  int player()

48、 //判斷搶答選手號(hào)函數(shù)</p><p><b>  {</b></p><p>  if(key1==1&key2==0&key3==0&key4==0)</p><p><b>  {</b></p><p>  Print(2,1);</p><p

49、><b>  TR0=0;</b></p><p><b>  s=1;</b></p><p><b>  aa=1;</b></p><p><b>  return 1;</b></p><p><b>  }</b>&l

50、t;/p><p>  if(key1==0&key2==1&key3==0&key4==0)</p><p><b>  {</b></p><p>  Print(2,2);</p><p><b>  TR0=0;</b></p><p><b&

51、gt;  s=2;</b></p><p><b>  bb=1;</b></p><p><b>  return 1;</b></p><p><b>  }</b></p><p>  if(key1==0&key2==0&key3==1&am

52、p;key4==0)</p><p><b>  {</b></p><p>  Print(2,3);</p><p><b>  TR0=0;</b></p><p><b>  s=3;</b></p><p><b>  cc=1;&l

53、t;/b></p><p><b>  return 1;</b></p><p><b>  }</b></p><p>  if(key1==0&key2==0&key3==0&key4==1)</p><p><b>  {</b></

54、p><p>  Print(2,4);</p><p><b>  TR0=0;</b></p><p><b>  s=4;</b></p><p><b>  dd=1;</b></p><p><b>  return 1;</b>

55、;</p><p><b>  }</b></p><p><b>  return 0;</b></p><p><b>  }</b></p><p>  void jishi() //計(jì)時(shí)函數(shù)</p><p><b>  {</

56、b></p><p>  uchar i=0,j,t=0;</p><p>  while(i!=1)</p><p><b>  {</b></p><p>  TR1=0;//啟動(dòng)定時(shí)器0</p><p><b>  TR0=1;</b></p>&l

57、t;p>  Print(0,Sec0%10);</p><p>  Print(1,Sec0/10);</p><p>  i=player();</p><p>  if(Sec0==0)</p><p><b>  {</b></p><p><b>  TR0=0;</

58、b></p><p><b>  clr();</b></p><p><b>  t=1;</b></p><p><b>  break;</b></p><p><b>  }</b></p><p><b>

59、  }</b></p><p>  while(i==1)</p><p><b>  {</b></p><p><b>  TR1=1;</b></p><p>  if(clear==1)</p><p>  {i=0;t=1;}</p>&l

60、t;p>  if(Sec1==0)</p><p><b>  {</b></p><p><b>  i=0;</b></p><p><b>  TR1=0;</b></p><p><b>  }</b></p><p>

61、;  Print(0,Sec1%10);</p><p>  Print(1,Sec1/10);</p><p>  Print(2,s);</p><p><b>  }</b></p><p><b>  if(t==0)</b></p><p>  for(j=0;j&

62、lt;5;j++)</p><p><b>  {</b></p><p>  Print(2,s);</p><p><b>  delays();</b></p><p>  Print(2,10);</p><p><b>  delays();</b&

63、gt;</p><p><b>  }</b></p><p><b>  clr();</b></p><p><b>  }</b></p><p>  void weigui() //違規(guī)函數(shù)</p><p>  { uint j;<

64、;/p><p>  if(key1==1&key2==0&key3==0&key4==0)</p><p><b>  {</b></p><p>  for(j=0;j<5;j++)</p><p><b>  {</b></p><p>  Pr

65、int(2,1);</p><p><b>  delays();</b></p><p>  Print(2,10);</p><p><b>  delays();</b></p><p><b>  }</b></p><p><b> 

66、 }</b></p><p>  if(key1==0&key2==1&key3==0&key4==0)</p><p><b>  {</b></p><p>  for(j=0;j<5;j++)</p><p><b>  {</b></p>

67、<p>  Print(2,2);</p><p><b>  delays();</b></p><p>  Print(2,10);</p><p><b>  delays();</b></p><p><b>  }</b></p><

68、p><b>  }</b></p><p>  if(key1==0&key2==0&key3==1&key4==0)</p><p><b>  {</b></p><p>  for(j=0;j<5;j++)</p><p><b>  {</

69、b></p><p>  Print(2,3);</p><p><b>  delays();</b></p><p>  Print(2,10);</p><p><b>  delays();</b></p><p><b>  }</b>&

70、lt;/p><p><b>  }</b></p><p>  if(key1==0&key2==0&key3==0&key4==1)</p><p><b>  {</b></p><p>  for(j=0;j<5;j++)</p><p>&l

71、t;b>  {</b></p><p>  Print(2,4);</p><p><b>  delays();</b></p><p>  Print(2,10);</p><p><b>  delays();</b></p><p><b>

72、;  }</b></p><p><b>  }</b></p><p><b>  clr();</b></p><p><b>  }</b></p><p>  void main(void) //主函數(shù)</p><p>&l

73、t;b>  {</b></p><p>  System_Init();</p><p>  aa=0;bb=0;cc=0;dd=0;</p><p><b>  while(1)</b></p><p><b>  {</b></p><p>  if(s

74、tart==1)</p><p><b>  {</b></p><p><b>  jishi();</b></p><p><b>  }</b></p><p><b>  else</b></p><p><b>

75、  weigui();</b></p><p><b>  clr();</b></p><p><b>  Sec0=10;</b></p><p><b>  Sec1=30;</b></p><p><b>  }</b></p&g

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫僅提供信息存儲(chǔ)空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論