2023年全國(guó)碩士研究生考試考研英語(yǔ)一試題真題(含答案詳解+作文范文)_第1頁(yè)
已閱讀1頁(yè),還剩26頁(yè)未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說(shuō)明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、<p><b>  摘要</b></p><p>  隨著電子技術(shù)的發(fā)展,電子技術(shù)在各個(gè)領(lǐng)域的運(yùn)用也越來(lái)越廣泛,人對(duì)它的認(rèn)識(shí)也逐步加深。秒表計(jì)時(shí)器秒表計(jì)時(shí)器常常用于體育競(jìng)賽及各種其他要求有較精確時(shí)間的各領(lǐng)域中。其中啟/停開(kāi)關(guān)的使用方法與傳統(tǒng)的機(jī)械計(jì)時(shí)器相同,即按一下啟/停開(kāi)關(guān),啟動(dòng)計(jì)時(shí)器開(kāi)始計(jì)時(shí),再按一下啟/停開(kāi)關(guān)計(jì)時(shí)終止。而復(fù)位開(kāi)關(guān)可以在任何情況下使用,即使在計(jì)時(shí)過(guò)程中,只要按一

2、下復(fù)位開(kāi)關(guān),計(jì)時(shí)應(yīng)立即終止,并對(duì)計(jì)時(shí)器清零。本設(shè)計(jì)就是利用所學(xué)到的電子元器件將脈沖源用數(shù)碼管顯示出來(lái),以制承諾簡(jiǎn)易的秒表。</p><p>  以單片機(jī)為核心,設(shè)計(jì)一個(gè)秒表,具有計(jì)時(shí)功能,按鍵有啟動(dòng)計(jì)時(shí)、數(shù)據(jù)清零、停止、時(shí)間顯示。</p><p>  采用3個(gè)LED數(shù)碼管顯示時(shí)間,計(jì)時(shí)范圍設(shè)置為0~99.9秒,即精確到0.1秒,用按鍵控制秒表的“開(kāi)始”、“暫?!?、“復(fù)位”,按“開(kāi)始”按鍵,

3、開(kāi)始計(jì)時(shí);按“暫?!卑存I,系統(tǒng)暫停計(jì)時(shí);再按“開(kāi)始”鍵,系統(tǒng)繼續(xù)計(jì)時(shí);數(shù)碼管顯示當(dāng)前計(jì)時(shí)值;按“復(fù)位”按鍵,系統(tǒng)清零。</p><p><b>  目 錄</b></p><p><b>  一、設(shè)計(jì)任務(wù)3</b></p><p><b>  二、設(shè)計(jì)題目3</b></p><

4、;p><b>  三、功能分析3</b></p><p><b>  四、總體設(shè)計(jì)3</b></p><p><b>  4.1硬件設(shè)計(jì)4</b></p><p>  4.1.189C51單片機(jī)4</p><p>  4.1.2晶體振蕩電路5</p>

5、;<p>  4.1.3復(fù)位電路6</p><p>  4.1.4按鍵電路7</p><p>  4.1.5顯示電路9</p><p>  4.2引腳控制10</p><p>  五、電路原理圖10</p><p>  六、程序流程圖及程序設(shè)計(jì)11</p><p>  

6、6.1程序流程圖11</p><p>  6.2程序設(shè)計(jì)12</p><p><b>  七、程序仿真23</b></p><p><b>  八、心得體會(huì)24</b></p><p><b>  九、致謝25</b></p><p><

7、b>  十、參考文獻(xiàn)26</b></p><p><b>  一、設(shè)計(jì)任務(wù)</b></p><p>  以單片機(jī)為核心,設(shè)計(jì)一個(gè)秒表,具有計(jì)時(shí)功能,按鍵有啟動(dòng)計(jì)時(shí)、數(shù)據(jù)清零、停止、時(shí)間顯示。</p><p><b>  二、設(shè)計(jì)題目</b></p><p><b>  

8、秒表的設(shè)計(jì)</b></p><p><b>  三、功能分析</b></p><p>  采用3個(gè)LED數(shù)碼管顯示時(shí)間,計(jì)時(shí)范圍設(shè)置為0~99.9秒,即精確到0.1秒,用按鍵控制秒表的“開(kāi)始”、“暫?!?、“復(fù)位”,按“開(kāi)始”按鍵,開(kāi)始計(jì)時(shí);按“暫?!卑存I,系統(tǒng)暫停計(jì)時(shí);再按“開(kāi)始”鍵,系統(tǒng)繼續(xù)計(jì)時(shí);數(shù)碼管顯示當(dāng)前計(jì)時(shí)值;按“復(fù)位”按鍵,系統(tǒng)清零。</

9、p><p><b>  四、總體設(shè)計(jì)</b></p><p>  本實(shí)驗(yàn)利用單片機(jī)的定時(shí)器/計(jì)數(shù)器定時(shí)和計(jì)數(shù)的原理,通過(guò)采用Proteus仿真軟件來(lái)模擬實(shí)現(xiàn)。模擬AT89C51單片機(jī)、LED數(shù)碼管以及控件來(lái)控制秒表的計(jì)數(shù)以及計(jì)時(shí)的開(kāi)啟、暫停、繼續(xù)、與復(fù)位。其中有三個(gè)數(shù)碼管來(lái)顯示數(shù)據(jù),兩個(gè)數(shù)碼管顯示秒(兩位),另一個(gè)數(shù)碼管顯示十分之一秒,十分之一秒的數(shù)碼管計(jì)數(shù)從0~9,滿十

10、進(jìn)一后顯示秒得數(shù)碼管的個(gè)位加一,并且十分之一秒顯示清零重新從零計(jì)數(shù)。同理當(dāng)個(gè)位滿十進(jìn)一后個(gè)位也清零重新計(jì)數(shù) ,當(dāng)計(jì)時(shí)超過(guò)范圍(即超過(guò)99.9秒)后,所有數(shù)碼管全部清零從新計(jì)數(shù)</p><p><b>  4.1硬件設(shè)計(jì)</b></p><p>  4.1.189C51單片機(jī)</p><p>  MCS-51系列單片機(jī)是8位單片機(jī)產(chǎn)品,89C5

11、1是其中的典型代表,基本模塊包括以下幾個(gè)部分:</p><p>  CPU:89C51的CPU是8位的,另外89C51內(nèi)部有1個(gè)位處理器</p><p>  R0M:4KB的片內(nèi)程序存儲(chǔ)器,存放開(kāi)發(fā)調(diào)試完成的應(yīng)用程序</p><p>  RAM:256B的片內(nèi)數(shù)據(jù)存儲(chǔ)器,容量小,但作用大</p><p>  I/O口:P0-P3,共4個(gè)口32

12、條雙向且可位尋址的I/O口線</p><p>  中斷系統(tǒng):共5個(gè)中斷源,3個(gè)內(nèi)部中斷,2個(gè)外部中斷</p><p>  定時(shí)器/計(jì)數(shù)器:2個(gè)16位的可編程定時(shí)器/計(jì)數(shù)器</p><p>  通用串行口:全雙工通用異步接收器/發(fā)送器</p><p>  振蕩器:89C51的外接晶振與內(nèi)部時(shí)鐘振蕩器為CPU提供時(shí)鐘信號(hào)</p>&

13、lt;p>  總線控制:89C51對(duì)外提供若干控制總線,便于系統(tǒng)擴(kuò)展</p><p>  89C51單片機(jī)引腳如下圖:</p><p>  4.1.2晶體振蕩電路</p><p>  89C51單片機(jī)內(nèi)部的振蕩電路是一個(gè)高增益反相放大器,引線XTAL1和XTAL2分別為反相振蕩放大器的輸入及內(nèi)部時(shí)鐘工作電路的輸入和來(lái)自反相振蕩器的輸出,該反相放大器可以配置為片

14、內(nèi)振蕩器。</p><p>  這里選用51單片機(jī)12MHZ的內(nèi)部振蕩方式,電路如下:C2、C3起穩(wěn)定振蕩頻率、快速起振的作用。</p><p><b>  晶振電路</b></p><p><b>  4.1.3復(fù)位電路</b></p><p>  采用上電復(fù)位,上電后,由于電容充電,使RST持續(xù)

15、一段時(shí)間的高電平,從而實(shí)現(xiàn)上電復(fù)位操作。這不僅能使單片機(jī)復(fù)位,還能是單片機(jī)的外圍設(shè)備同時(shí)復(fù)位,當(dāng)程序出現(xiàn)錯(cuò)誤時(shí),可以隨時(shí)使電路復(fù)位。</p><p><b>  電路圖如下:</b></p><p><b>  復(fù)位電路</b></p><p><b>  4.1.4按鍵電路</b></p>

16、;<p>  當(dāng)按鍵被按下時(shí),相應(yīng)的引腳被拉低,經(jīng)掃描后,獲得鍵值,并執(zhí)行鍵功能程序,因此按下不同的按鍵,將執(zhí)行不同的功能程序。</p><p><b>  電路圖如下:</b></p><p><b>  按鍵電路</b></p><p><b>  4.1.5顯示電路</b><

17、/p><p>  采用3個(gè)LED數(shù)碼管,LED是七段顯示器,內(nèi)部有7個(gè)條形發(fā)光二極管和1個(gè)小圓點(diǎn)發(fā)光二極管,根據(jù)各管的亮暗組成字符。</p><p>  在用數(shù)碼管顯示時(shí),有靜態(tài)和動(dòng)態(tài)兩種選擇,這里采用LED動(dòng)態(tài)顯示,用P0、P1、 P2口驅(qū)動(dòng)顯示,由于P0口沒(méi)有上拉電阻,因此P0口需要外接上拉電阻才能輸出高電平,這里使用8個(gè)4.7k的電阻作為上拉電阻。</p><p>

18、;<b>  電路圖如下:</b></p><p><b>  顯示電路</b></p><p><b>  4.2引腳控制</b></p><p>  P0.0—P0.7、 P2.0—P2.7、 P1.0—P1.7對(duì)應(yīng)三個(gè)數(shù)碼管的a、b、c、d、e、f、g段和小數(shù)點(diǎn)位;P0控制數(shù)碼管十位的顯示,P2

19、控制數(shù)碼管個(gè)位的現(xiàn)實(shí),P1控制小數(shù)點(diǎn)后一問(wèn)的顯示,P3.2、P3.3、P3.4分別接。</p><p><b>  五、電路原理圖</b></p><p>  用Proteus軟件畫(huà)出主電路圖如下:</p><p>  六、程序流程圖及程序設(shè)計(jì)</p><p><b>  6.1程序流程圖</b>&

20、lt;/p><p><b>  6.2程序設(shè)計(jì)</b></p><p>  程序的各個(gè)組成模塊及工作流程描述:</p><p><b>  (1)秒表的初始化</b></p><p>  根據(jù)程序流程圖,先進(jìn)行秒表的初始化,即:①將I/O口P3全寫(xiě)一,為秒表的控制輸入做好準(zhǔn)備;②將數(shù)碼管全部置零,使其處

21、于秒表計(jì)時(shí)的初始狀態(tài);③將工作寄存器R0~R2以及30H初始化,留待后面的計(jì)時(shí)程序備用;④將定時(shí)器0置于工作方式1,并為其裝入計(jì)時(shí)預(yù)置數(shù)D8FE(因?yàn)槌绦蜻\(yùn)行過(guò)程中占用的時(shí)間會(huì)導(dǎo)致一定誤差,此為經(jīng)實(shí)物測(cè)試之后的修正值),即將定時(shí)器定為每10ms溢出;⑤開(kāi)總中斷允許和定時(shí)器0中斷允許。初始化完成后,即進(jìn)入之后的按鍵掃描程序。</p><p><b>  (2)按鍵檢測(cè)程序</b></p&

22、gt;<p>  輪流檢測(cè)開(kāi)始計(jì)時(shí)(P3.2)、暫停計(jì)時(shí)(P3.3)、秒表清零(P3.4)三個(gè)按鍵。若發(fā)現(xiàn)有一個(gè)按鍵出現(xiàn)低電平(可能被按下),則延時(shí)10ms(調(diào)用延時(shí)子程序DELAY),延時(shí)完成后,若發(fā)現(xiàn)低電平消失,則說(shuō)明該按鍵實(shí)際上未被按下,此時(shí)轉(zhuǎn)回按鍵檢測(cè)處繼續(xù)檢測(cè);若發(fā)現(xiàn)仍然是低電平,則說(shuō)明此鍵確實(shí)被按下了,此時(shí)就跳轉(zhuǎn)至相應(yīng)的程序標(biāo)號(hào)處,執(zhí)行相應(yīng)的功能。</p><p><b>  

23、(3)開(kāi)始計(jì)時(shí)</b></p><p>  若確認(rèn)“開(kāi)始計(jì)時(shí)”鍵被按下,則跳轉(zhuǎn)至程序標(biāo)號(hào)“RUN”處,將定時(shí)器0計(jì)時(shí)允許控制位TR0置位,則定時(shí)器開(kāi)始運(yùn)行。此動(dòng)作完成后,返回按鍵檢測(cè)程序,等待操作者的下一次指令。</p><p><b>  (4)計(jì)時(shí)程序</b></p><p>  定時(shí)器0計(jì)時(shí)至10ms,溢出,引發(fā)中斷,程序跳轉(zhuǎn)

24、至定時(shí)器0中斷服務(wù)程序入口000BH處執(zhí)行。程序跳轉(zhuǎn)至中斷服務(wù)程序TIME0。由于秒表的最小計(jì)時(shí)單位是0.1s,即100ms,因此需加入軟件計(jì)時(shí),使定時(shí)器0溢出10次之后才改變數(shù)碼管的顯示狀態(tài)。因此每來(lái)一次中斷就將30H中的數(shù)加1,若30H中的數(shù)沒(méi)有到10,則給定時(shí)器0重新裝入預(yù)置數(shù),之后中斷返回并繼續(xù)等待中斷;到10了,才進(jìn)入顯示程序,改變數(shù)碼管的顯示狀態(tài),執(zhí)行完畢之后中斷返回并繼續(xù)等待中斷。</p><p>

25、<b>  (5)顯示程序</b></p><p>  將數(shù)碼管的段選碼放在數(shù)表TAB中。每次100ms計(jì)時(shí)完成后,將R0中的值(初值為0)送入A,然后自加1。.若R0中的值沒(méi)到10,則使用累加器A查表,并將查得的數(shù)碼管段選碼送入毫秒位數(shù)碼管。之后將30H中的數(shù)置零,中斷返回。若發(fā)現(xiàn)R0中的數(shù)到10了,則將R0置零,并轉(zhuǎn)入秒位進(jìn)位子程序SECOND,向秒位進(jìn)位,之后,繼續(xù)照常向毫秒位送數(shù)。&

26、lt;/p><p>  在秒位進(jìn)位子程序SECOND中,由于要用到累加器A,因此先將其推入堆棧保護(hù)。將R1中的值(初值為10)送入A,然后自加1。.若R1中的值沒(méi)到20,則使用累加器A查表,并將查得的數(shù)碼管段選碼送入秒位數(shù)碼管。若發(fā)現(xiàn)R1中的數(shù)到20了,則將R1重置為10,并轉(zhuǎn)入十秒位進(jìn)位子程序SECOND1,向十秒位進(jìn)位,之后,繼續(xù)照常向秒位送數(shù)。完成后,彈出ACC和PSW,子程序返回。</p>&l

27、t;p>  十秒位進(jìn)位子程序與秒位進(jìn)位子程序相似,只是沒(méi)有向下一位進(jìn)位的功能。</p><p><b>  (6)暫停計(jì)時(shí)</b></p><p>  若確認(rèn)“暫停計(jì)時(shí)”鍵被按下,則跳轉(zhuǎn)至程序標(biāo)號(hào)“PAUSE”處,將定時(shí)器0計(jì)時(shí)允許控制位TR0置零,則定時(shí)器暫停運(yùn)行。此動(dòng)作完成后,返回按鍵檢測(cè)程序,等待操作者的下一次指令。</p><p>

28、;<b>  (7)秒表清零</b></p><p>  若確認(rèn)“秒表清零”鍵被按下,則跳轉(zhuǎn)至程序標(biāo)號(hào)“STOP”處,將TR0置零,關(guān)閉定時(shí)器0運(yùn)行。并且將數(shù)碼管、工作寄存器、定時(shí)器0預(yù)置數(shù)全部重置,使其處于秒表計(jì)時(shí)的初始狀態(tài)。此動(dòng)作完成后,返回按鍵檢測(cè)程序,等待操作者的下一次指令。</p><p><b>  (8)延時(shí)程序</b></p

29、><p>  用于按鍵延時(shí)防抖,延時(shí)10ms。</p><p><b>  程序清單如下:</b></p><p>  ORG 0000H ;程序開(kāi)始</p><p>  AJMP START ;跳轉(zhuǎn)到主程序START </p><p>  ORG 000BH

30、 ;定時(shí)器0中斷的地址入口</p><p>  AJMP TIME0 ;定時(shí)器0溢出,跳轉(zhuǎn)到中斷程序TIME0</p><p>  START: ;主程序</p><p>  MOV P3,#0FFH ;輸入端口P3全寫(xiě)1</p><p>  MOV P0,#3FH

31、;</p><p>  MOV P1,#3FH ;</p><p>  MOV P2,#0BFH ;數(shù)碼管初始化</p><p>  MOV 30H,#00H ;</p><p>  MOV R0,#00H ;</p><p>  MOV R1,#0AH

32、 ;</p><p>  MOV R2,#00H ;工作寄存器初始化</p><p>  MOV TMOD,#01H ;定時(shí)器0工作于方式1</p><p>  MOV TH0,#0D8H ;</p><p>  MOV TL0,#0FEH ;定時(shí)器0預(yù)置數(shù)(D8FEH=55550D)</p

33、><p>  SETB EA ;開(kāi)總中斷允許</p><p>  SETB ET0 ;開(kāi)定時(shí)器0中斷允許</p><p>  READ: ;讀鍵程序</p><p>  L1:JB P3.2,L2 ;</p><p>  LCALL DELAY

34、 ;按鍵延時(shí)防抖</p><p>  JB P3.2,L1 ;</p><p>  AJMP RUN ;確認(rèn)計(jì)時(shí)鍵被按下,開(kāi)始/繼續(xù)計(jì)時(shí)</p><p>  L2:JB P3.3,L3 ;</p><p>  LCALL DELAY ;按鍵延時(shí)防抖</p>

35、<p>  JB P3.3,L2 ;</p><p>  AJMP PAUSE ;確認(rèn)暫停鍵被按下,暫停計(jì)時(shí)</p><p>  L3:JB P3.4,L1 ;</p><p>  LCALL DELAY ;按鍵延時(shí)防抖</p><p>  JB P3.4,L3

36、 ;</p><p>  AJMP STOP ;確認(rèn)清零鍵被按下,秒表重置</p><p>  RUN: ;計(jì)時(shí)鍵按下,跳轉(zhuǎn)至此</p><p>  SETB TR0 ;定時(shí)器0開(kāi)始/繼續(xù)運(yùn)行</p><p>  AJMP READ ;</p>

37、<p>  PAUSE: ;暫停鍵按下,跳轉(zhuǎn)至此</p><p>  CLR TR0 ;</p><p>  AJMP READ ; </p><p>  TIME0: ;定時(shí)器0溢出,中斷,跳轉(zhuǎn)至此</p><p>  INC 30H

38、 ;</p><p>  MOV A,30H ;</p><p>  CJNE A,#0AH,TIME1 ;30H單元中的值到10了嗎?(計(jì)時(shí)到10毫秒了嗎,也就是說(shuō),該向毫秒位送數(shù)了嗎?)</p><p>  MOV DPTR,#TAB ;30H中的值到10了,順序執(zhí)行</p><p>  M

39、OV A,R0 ;</p><p>  INC R0 ;</p><p>  CJNE R0,#0AH,GET ;R0中的值到10了嗎?(該向秒位進(jìn)位了嗎?)</p><p>  MOV R0,#00H ;</p><p>  LCALL SECOND ;到了,R0清零

40、,調(diào)用進(jìn)位子程序SECOND,向秒位進(jìn)位</p><p>  GET: ;沒(méi)到,跳過(guò)進(jìn)位子程序</p><p>  MOVC A,@A+DPTR ;</p><p>  MOV P1,A ;查表并向數(shù)碼管毫秒位送數(shù)</p><p>  MOV 30H,#00H ;重置30H單

41、元</p><p>  TIME1: ;</p><p>  MOV TH0,#0D8H ;</p><p>  MOV TL0,#0FEH ;給定時(shí)器0重新預(yù)置數(shù)</p><p>  RETI ;中斷返回</p><p>  SECOND:

42、 ;秒位進(jìn)位子程序</p><p>  PUSH ACC ;</p><p>  PUSH PSW ;將ACC和PSW推入堆棧保護(hù)</p><p>  MOV A,R1 ;</p><p>  INC R1 ;</p><

43、p>  CJNE R1,#14H,GET1 ;R1中的值到20了嗎,也就是說(shuō),該向十秒位進(jìn)位了嗎?</p><p>  MOV R1,#0AH ;</p><p>  LCALL SECOND1 ;到了。R1重置,調(diào)用進(jìn)位子程序SECOND1,向十秒位進(jìn)位</p><p>  GET1: ;沒(méi)到,跳過(guò)進(jìn)位子程

44、序</p><p>  MOVC A,@A+DPTR ;</p><p>  MOV P2,A ;查表并向數(shù)碼管秒位送數(shù)</p><p>  POP PSW ;</p><p>  POP ACC ;PSW,ACC出棧</p><p>  RET

45、 ;子程序返回</p><p>  SECOND1: ;十秒位進(jìn)位子程序</p><p>  PUSH ACC ;</p><p>  PUSH PSW ;將ACC和PSW推入堆棧保護(hù)</p><p>  MOV A,R2 ;</

46、p><p>  INC R2 ;</p><p>  CJNE R2,#0AH,GET2 ;R2中的值到10了嗎,也就是說(shuō),該將此位歸零了嗎?</p><p>  MOV R2,#00H ;到了,R2清零</p><p>  GET2: ;沒(méi)到,跳過(guò)清零程序</p>

47、<p>  MOVC A,@A+DPTR ;</p><p>  MOV P0,A ;查表并向數(shù)碼管十秒位送數(shù)</p><p>  POP PSW ;</p><p>  POP ACC ;PSW,ACC出棧</p><p>  RET

48、 ;子程序返回</p><p>  STOP: ;清零鍵按下,跳轉(zhuǎn)至此</p><p>  MOV P3,#0FFH ;</p><p>  MOV P0,#3FH ;</p><p>  MOV P1,#3FH ;</p><p>  MOV P2

49、,#0BFH ;數(shù)碼管清零</p><p>  MOV 30H,#00H ;</p><p>  MOV R0,#00H ;</p><p>  MOV R1,#0AH ;</p><p>  MOV R2,#00H ;工作寄存器初始化</p><p>

50、  CLR TR0 ;計(jì)時(shí)器0停止計(jì)時(shí)</p><p>  MOV TH0,#0D8H ;</p><p>  MOV TL0,#0FEH ;定時(shí)器0預(yù)置數(shù)</p><p>  AJMP READ ;</p><p>  DELAY: ;延時(shí)10ms子程序&

51、lt;/p><p>  MOV R3,#50D ;</p><p>  D1:MOV R4,#100D ;</p><p>  D2:DJNZ R4,D2 ;</p><p>  DJNZ R3,D1 ;</p><p>  RET ;子程序返回&

52、lt;/p><p>  TAB: DB 06H,5BH,4FH,66H,6DH,7DH,07H,7FH,6FH,3FH,86H,0DBH,0CFH,0E6H,0EDH,0FDH,87H,0FFH,0EFH,0BFH ;數(shù)碼管段選碼數(shù)表</p><p>  END ;程序結(jié)束</p><p><b>  七、程序仿真</b&g

53、t;</p><p>  將以上程序清單導(dǎo)入先前做好的Proteus仿真電路,匯編之后,按</p><p><b>  鍵開(kāi)始進(jìn)行仿真。</b></p><p><b>  仿真結(jié)果如下:</b></p><p><b>  仿真結(jié)果描述:</b></p><

54、;p>  按“開(kāi)始”鍵,秒表開(kāi)始計(jì)時(shí);按“暫停”鍵,秒表暫停計(jì)時(shí);再按“開(kāi)始”鍵,秒表繼續(xù)計(jì)時(shí);按“清零”鍵,秒表清零。</p><p><b>  八、心得體會(huì)</b></p><p>  雖然秒表是一個(gè)非常簡(jiǎn)單的功能,但要在單片機(jī)中使用匯編語(yǔ)言來(lái)實(shí)現(xiàn)這個(gè)功能,仍然花了我不少心思。</p><p>  首先是計(jì)時(shí)的問(wèn)題,由于單片機(jī)計(jì)時(shí)器

55、最大只能計(jì)時(shí)65.5ms,因此要實(shí)現(xiàn)毫秒位的變化,我采用了軟件計(jì)時(shí)的方法,單片機(jī)只需計(jì)時(shí)10ms,然后用軟件重復(fù)10次,即可達(dá)到計(jì)時(shí)100ms的目的。</p><p>  顯示方面,為了使編程簡(jiǎn)單,我使用了靜態(tài)顯示。不過(guò)這使得占用I/O口線過(guò)多,而且連線復(fù)雜繁瑣,為實(shí)物的制作帶來(lái)了不便。在以后的學(xué)習(xí)和應(yīng)用中我會(huì)努力加深動(dòng)態(tài)掃描顯示的理解,爭(zhēng)取熟練運(yùn)用。</p><p>  根據(jù)書(shū)本知識(shí),我

56、們一開(kāi)始只給P0口加上了上拉電阻,但是實(shí)物做成后我們發(fā)現(xiàn)P1和P2口得輸出顯示非常暗,初步確定是驅(qū)動(dòng)能力不足的問(wèn)題后,我們給二者也加上了上拉電阻,結(jié)果使得顯示正常了。由此我們了解到,實(shí)踐才是檢驗(yàn)真理的唯一標(biāo)準(zhǔn),有時(shí)候書(shū)本上的知識(shí)需要經(jīng)過(guò)實(shí)踐的改進(jìn),才能運(yùn)用到實(shí)際中。</p><p>  此次課程設(shè)計(jì)鞏固了我的基礎(chǔ)知識(shí),提高了我的應(yīng)用水平,鍛煉了我的動(dòng)手能力,使我受益匪淺。然而,在吸取經(jīng)驗(yàn)的同時(shí),我也吃了不少教訓(xùn)。

57、在編程、仿真、焊接方面都走了不少?gòu)澛贰5?,學(xué)則要有所收獲,經(jīng)過(guò)此次的鍛煉,我在很多方面都已經(jīng)有所提高,知識(shí)也掌握得更加扎實(shí)了。</p><p>  在今后的學(xué)習(xí)和實(shí)踐中,我將繼續(xù)努力鉆研,提高自己,爭(zhēng)取在學(xué)術(shù)和記憶上獲得更大的進(jìn)步。</p><p><b>  九、致謝</b></p><p>  本設(shè)計(jì)是在李芳老師的悉心指導(dǎo)下完成的,李老師

58、淵博的知識(shí),嚴(yán)謹(jǐn)?shù)闹螌W(xué)態(tài)度,一絲不茍的工作作風(fēng),平易近人的性格都是我學(xué)習(xí)的楷模。在論文的研究及整理期間,李老師給了我很大的支持和鼓勵(lì),才使得論文得以順利的完成,在此謹(jǐn)向?qū)煴硎局倚牡母兄x和崇高的敬意。 同時(shí)還要感謝同學(xué)們,他們也給了我很大的支持和幫助。</p><p><b>  十、參考文獻(xiàn)</b></p><p>  1)《微控制器與接口技術(shù)》.虞滄.

59、電子工業(yè)出版社.2012.10</p><p>  2)李朝青. 單片機(jī)原理及接口技術(shù)[M]. 北京:北京航空航天大學(xué)出版社.2005.10</p><p>  3)夏繼強(qiáng). 單片機(jī)實(shí)驗(yàn)北京:北京航空航天大學(xué)出版社.2001. </p><p>  4)孫德輝,鄭士富. 微型計(jì)算機(jī)控制系統(tǒng). 北京:冶金工業(yè)出版社.2002</p><p&g

60、t;  5)肖洪兵. 跟我學(xué)用單片機(jī). 北京:北京航空航天大學(xué)出版社.2002.8</p><p>  6)陳汝全. 實(shí)用微機(jī)與單片機(jī)控制技術(shù). 電子科技大學(xué)出版社.1995.7</p><p>  7)王涌. 單片機(jī)與嵌入式系統(tǒng)應(yīng)用[J],2002(3):58-61</p><p>  8)羅亞非. 凌陽(yáng)16位單片機(jī)應(yīng)用基礎(chǔ)[M]. 北京:北京航空航天大學(xué)

61、出版社.2003.5</p><p>  9)李慶. Keil C51 使用詳解 V3.0[M]. 北京:北京理工大學(xué)出版</p><p>  10)李華. MCS-51系列單片機(jī)實(shí)用接口技術(shù). 北京航空航天大學(xué)出版社.1993,162-229</p><p>  11)溫鋼云,黃道平. 計(jì)算機(jī)控制技術(shù). 廣州:華南理工大學(xué)出版社.2002</p>

62、<p>  12)肖洪兵. 單片機(jī)應(yīng)用技術(shù). 自編教材</p><p>  13)孫德輝,鄭士富. 微型計(jì)算機(jī)控制系統(tǒng). 北京:冶金工業(yè)出版社.2002</p><p>  14)韓來(lái)吉.用 89C51實(shí)現(xiàn)急救車(chē)優(yōu)先的交通燈控制系統(tǒng)[J]</p><p>  15)陳志強(qiáng),芮延年, 城市路口交通燈多級(jí)智能控制方法的研究J.2007</p&

63、gt;<p>  16)李廣弟. 單片機(jī)基礎(chǔ). 北京:北京航空航天大學(xué)出版社.2001,56</p><p>  17)馬忠梅等. 單片機(jī)的C語(yǔ)言應(yīng)用程序設(shè)計(jì). 北京航空航天出版社.1999</p><p>  18)劉瑞新,單片機(jī)原理及應(yīng)用教程,北京機(jī)械工業(yè)出版社,2003</p><p>  19)李傳軍,單片機(jī)原理及應(yīng)用,河南科技出版社,

溫馨提示

  • 1. 本站所有資源如無(wú)特殊說(shuō)明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁(yè)內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒(méi)有圖紙預(yù)覽就沒(méi)有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫(kù)僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論