2023年全國碩士研究生考試考研英語一試題真題(含答案詳解+作文范文)_第1頁
已閱讀1頁,還剩31頁未讀, 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、<p><b>  數(shù)字頻率計(jì)</b></p><p><b>  設(shè)計(jì)報(bào)告書</b></p><p><b>  前 言</b></p><p>  摘 要:在電子技術(shù)中,頻率是最基本的參數(shù)之一,并且與許多電參量的測(cè)量方案、測(cè)量結(jié)果都有十分密切的關(guān)系,因此頻率的測(cè)量就顯得更為重要。測(cè)量

2、頻率的方法有多種,其中數(shù)字計(jì)數(shù)器測(cè)量頻率具有精度高、使用方便、測(cè)量迅速,以及便于實(shí)現(xiàn)測(cè)量過程自動(dòng)化等優(yōu)點(diǎn),是頻率測(cè)量的重要手段之一。其原理為通過測(cè)量一定閘門時(shí)間內(nèi)信號(hào)的脈沖個(gè)數(shù)。本文闡述了設(shè)計(jì)了一個(gè)簡(jiǎn)單的數(shù)字頻率計(jì)的過程。</p><p>  關(guān)鍵詞:頻率計(jì),閘門,邏輯控制,計(jì)數(shù)-鎖存</p><p><b>  目 錄</b></p><p&

3、gt;<b>  設(shè)計(jì)目的</b></p><p><b>  設(shè)計(jì)任務(wù)和設(shè)計(jì)要求</b></p><p>  2.1 設(shè)計(jì)任務(wù)及基本要求</p><p>  2.2.系統(tǒng)結(jié)構(gòu)要求</p><p><b>  系統(tǒng)概述</b></p><p><b

4、>  3.1概述</b></p><p>  3.2設(shè)計(jì)原理及方案</p><p><b>  單元電路設(shè)計(jì)及分析</b></p><p>  4.1 時(shí)基電路4.2邏輯控制電路4.3計(jì)數(shù)電路4.4鎖存電路4.5顯示譯碼電路4.6 閘門電路</p><p><b>  4.7 報(bào)警電

5、路</b></p><p><b>  安裝與調(diào)試過程</b></p><p>  5.1 電路的安裝過程</p><p>  5.2 電路的調(diào)試過程</p><p>  5.3 出現(xiàn)的問題及解決辦法</p><p><b>  結(jié)果分析</b></p>

6、;<p><b>  收獲與體會(huì)</b></p><p><b>  元件清單 </b></p><p>  第十章 實(shí)現(xiàn)結(jié)果實(shí)物圖</p><p><b>  附錄A 參考文獻(xiàn) </b></p><p>  附錄B總體電路圖 </p>&

7、lt;p><b>  第一章</b></p><p><b>  設(shè)計(jì)目的:</b></p><p>  了解數(shù)字頻率計(jì)測(cè)量頻率與測(cè)量周期的基本原理;</p><p>  熟練掌握數(shù)字頻率計(jì)的設(shè)計(jì)與調(diào)試方法及減小測(cè)量誤差的方法。</p><p>  本設(shè)計(jì)與制作項(xiàng)目可以進(jìn)一步加深我們對(duì)數(shù)字電路

8、應(yīng)用技術(shù)方面的了解與認(rèn)識(shí),進(jìn)一步熟悉數(shù)字電路系統(tǒng)設(shè)計(jì)、制作與調(diào)試的方法和步驟。</p><p>  針對(duì)電子線路課程要求,對(duì)我們進(jìn)行實(shí)用型電子線路設(shè)計(jì)、安裝、調(diào)試等各環(huán)節(jié)的綜合性訓(xùn)練,培養(yǎng)我們運(yùn)用課程中所學(xué)的理論與實(shí)踐緊密結(jié)合,獨(dú)立地解決實(shí)際問題的能力。</p><p><b>  第二章</b></p><p><b>  設(shè)計(jì)任務(wù)

9、及要求:</b></p><p>  2.1設(shè)計(jì)任務(wù)及基本要求:</p><p>  設(shè)計(jì)一簡(jiǎn)易數(shù)字頻率計(jì),其基本要求是:</p><p>  1)測(cè)量頻率范圍0~999999Hz;</p><p>  2)最大讀數(shù)999999HZ,閘門信號(hào)的采樣時(shí)間為1s;.</p><p>  3)被測(cè)信號(hào)可以是正弦波

10、、三角波和方波;</p><p>  4)顯示方式為6位十進(jìn)制數(shù)顯示;</p><p>  5)具有超過量程報(bào)警功能。</p><p>  5)輸入信號(hào)最大幅值可擴(kuò)展。</p><p>  6)測(cè)量誤差小于+-0.1%。</p><p>  7)完成全部設(shè)計(jì)后,可使用EWB進(jìn)行仿真,檢測(cè)試驗(yàn)設(shè)計(jì)電路的正確性。</

11、p><p>  2.2.系統(tǒng)結(jié)構(gòu)要求</p><p>  數(shù)字頻率計(jì)的整體結(jié)構(gòu)要求如圖所示。圖中被測(cè)信號(hào)為外部信號(hào),送入測(cè)量電路進(jìn)行處理、測(cè)量。</p><p><b>  第三章</b></p><p><b>  3.1概述:</b></p><p>  頻率計(jì)的基本原理是用

12、一個(gè)頻率穩(wěn)定度高的頻率源作為基準(zhǔn)時(shí)鐘,對(duì)比測(cè)量其他信號(hào)的頻率。通常情況下計(jì)算每秒內(nèi)待測(cè)信號(hào)的脈沖個(gè)數(shù),此時(shí)我們稱閘門時(shí)間為1秒。閘門時(shí)間也可以大于或小于一秒。閘門時(shí)間越長,得到的頻率值就越準(zhǔn)確,但閘門時(shí)間越長則沒測(cè)一次頻率的間隔就越長。閘門時(shí)間越短,測(cè)的頻率值刷新就越快,但測(cè)得的頻率精度就受影響。本文。數(shù)字頻率計(jì)是用數(shù)字顯示被測(cè)信號(hào)頻率的儀器,被測(cè)信號(hào)可以是正弦波,方波或其它周期性變化的信號(hào)。</p><p> 

13、 電子系統(tǒng)非常廣泛的應(yīng)用領(lǐng)域內(nèi),到處可見到處理離散信息的數(shù)字電路。數(shù)字電路制造工業(yè)的進(jìn)步,使得系統(tǒng)設(shè)計(jì)人員能在更小的空間內(nèi)實(shí)現(xiàn)更多的功能,從而提高系統(tǒng)可靠性和速度。 </p><p>  如配以適當(dāng)?shù)膫鞲衅?,可以?duì)多種物理量進(jìn)行測(cè)試,比如機(jī)械振動(dòng)的頻率,轉(zhuǎn)速,聲音的頻率以及產(chǎn)品的計(jì)件等等。因此,數(shù)字頻率計(jì)是一種應(yīng)用很廣泛的儀器</p><p>  數(shù)字集成電路廣泛用于計(jì)算機(jī)、控制與測(cè)量系統(tǒng)

14、,以及其它電子設(shè)備中。一般說來,數(shù)字系統(tǒng)中運(yùn)行的電信號(hào),其大小往往并不改變,但在實(shí)踐分布上卻有著嚴(yán)格的要求,這是數(shù)字電路的一個(gè)特點(diǎn)。數(shù)字集成電路作為電子技術(shù)最重要的基礎(chǔ)產(chǎn)品之一,已廣泛地深入到各個(gè)應(yīng)用領(lǐng)域。 </p><p>  3.2設(shè)計(jì)原理及方案</p><p>  數(shù)字頻率計(jì)是直接用十進(jìn)制的數(shù)字來顯示被測(cè)信號(hào)頻率的一種測(cè)量裝置。它不僅可以測(cè)量正弦波、方波、三角波和尖脈沖信號(hào)的頻率 ,

15、而且還可以測(cè)量它們的周期。</p><p>  所謂頻率就是在單位時(shí)間(1s)內(nèi)周期信號(hào)的變化次數(shù)。若在一定時(shí)間間隔T內(nèi)測(cè)得周期信號(hào)的重復(fù)變化次數(shù)為N,則其頻率為f=N/T,據(jù)此,設(shè)計(jì)方案框圖如圖1所示:</p><p><b>  Fx 被測(cè)信號(hào)</b></p><p>  圖中脈沖形成的電路的作用是將被測(cè)信號(hào)變成脈沖信號(hào),其重復(fù)頻率等于被測(cè)

16、信號(hào)的頻率fX。,時(shí)間基準(zhǔn)信號(hào)發(fā)生器提供標(biāo)準(zhǔn)的時(shí)間脈沖信號(hào),若其周期為1s,則們控電路的輸出信號(hào)持續(xù)時(shí)間亦準(zhǔn)確的等于1s。閘門電路由標(biāo)準(zhǔn)秒信號(hào)進(jìn)行控制當(dāng)秒信號(hào)來到時(shí),閘門開通,被測(cè)脈沖信號(hào)通過閘門送到計(jì)數(shù)器譯碼顯示電路。秒信號(hào)結(jié)束時(shí)閘門關(guān)閉,技計(jì)數(shù)器得的脈沖數(shù)N是在1秒時(shí)間內(nèi)的累計(jì)數(shù),所以被測(cè)頻率fX= N Hz </p><p>  同時(shí)由圖可知數(shù)字頻率計(jì)由八部分組成:時(shí)基電路,邏輯控制電路,閘門

17、電路,整形電路,計(jì)數(shù)電路,鎖存器,譯碼顯示器和振蕩電路。</p><p>  時(shí)基信號(hào)發(fā)生器提供標(biāo)準(zhǔn)的時(shí)間脈沖信號(hào),其高電平持續(xù)時(shí)間為1S。閘門電路由標(biāo)準(zhǔn)時(shí)間信號(hào)進(jìn)行控制,在時(shí)基脈沖的上升沿到來時(shí)閘門開啟,計(jì)數(shù)器開始計(jì)數(shù),在同一脈沖的下降沿到來時(shí),閘門關(guān)閉,計(jì)數(shù)停止計(jì)數(shù)。同時(shí)邏輯控制電路產(chǎn)生一個(gè)鎖存信號(hào)輸送到鎖存器的使能端將結(jié)果鎖存,并把鎖存結(jié)果輸送到譯碼器來控制七段顯示器,這樣就可以得到被測(cè)信號(hào)的數(shù)字顯示的頻率

18、。而在鎖存信號(hào)的上升沿到來時(shí),邏輯控制電路產(chǎn)生一個(gè)清零信號(hào)將計(jì)數(shù)器清零,為下一次測(cè)量做準(zhǔn)備,實(shí)現(xiàn)了可重復(fù)使用,避免兩次測(cè)量結(jié)果相加使結(jié)果產(chǎn)生錯(cuò)誤。被測(cè)信號(hào)頻率通過計(jì)數(shù)鎖存可直接從計(jì)數(shù)顯示器上讀出。</p><p><b>  各點(diǎn)的波形如圖;</b></p><p>  數(shù)字頻率計(jì)的工作時(shí)序波形</p><p>  其中,1路為未知頻率波形,2

19、路為時(shí)基波形,3路為計(jì)數(shù)信號(hào)波形,4路為鎖存信號(hào)波形,5路為清零信號(hào)波形。</p><p>  邏輯控制單元的作用有兩個(gè):</p><p>  其一,產(chǎn)生清零脈沖④,使計(jì)數(shù)器每次從零開始計(jì)數(shù);</p><p>  其二,產(chǎn)生所存信號(hào)⑤,是顯示器上的數(shù)字穩(wěn)定不變。這些信號(hào)之間的時(shí)序關(guān)系如圖2(b)所示數(shù)字頻率計(jì)由時(shí)基電路、控制電路、閘門電路、計(jì)數(shù)鎖存和清零電路、脈沖形

20、成電路和譯碼顯示電路組成</p><p><b>  第四章</b></p><p><b>  單元電路設(shè)計(jì)及分析</b></p><p><b>  4.1時(shí)基電路</b></p><p>  時(shí)基電路的功能是產(chǎn)生一個(gè)高電平持續(xù)時(shí)間為1S的信號(hào)送到閘門電路以及一個(gè)周期為1S

21、的信號(hào)送到邏輯控制電路。它由兩部分組成,是一個(gè)32768和一個(gè)CC4060芯片以及兩個(gè)T‘觸發(fā)器組成。32768的核心是晶體振蕩管,晶體振蕩管提供一個(gè)頻率穩(wěn)定的32768hz的方波信號(hào),由32768和CC4060連成的電路產(chǎn)生的2HZ脈沖信號(hào)送到邏輯控制電路,此脈沖信號(hào)在經(jīng)過一個(gè)T’觸發(fā)器分頻后得到高電平持續(xù)時(shí)間為1S的脈沖信號(hào)送入閘門。</p><p>  時(shí)基信號(hào)電路圖如下;</p><p

22、><b>  4.2邏輯控制電路</b></p><p>  邏輯控制電路的功能是在計(jì)數(shù)器計(jì)數(shù)完成后產(chǎn)生一個(gè)3S</p><p>  的鎖存信號(hào)輸送到鎖存器的使能端將結(jié)果鎖存,并把鎖存結(jié)果輸送到譯碼器來控制七段顯示器,這樣就可以得到被測(cè)信號(hào)的數(shù)字顯示的頻率。而在鎖存信號(hào)的上升沿到來時(shí),邏輯控制電路產(chǎn)生一個(gè)清零信號(hào)將計(jì)數(shù)器清零。</p><p&

23、gt;  邏輯控制電路由一個(gè)74LS160芯片和一個(gè)74LS138芯片組成以及兩個(gè)非門。選用74LS160和一個(gè)非門改裝成一個(gè)五進(jìn)制計(jì)數(shù)器,再把五進(jìn)制計(jì)數(shù)器前三個(gè)輸出端對(duì)應(yīng)的接到74LS138的三個(gè)輸入端A2,A1,A0,從時(shí)基電路送來的周期為1S的脈沖信號(hào)輸送到74LS160的CP端,于是在脈沖信號(hào)的不斷作用下74LS138的輸出端從Y0~Y4的非之間不斷變化。其中Y0的非信號(hào)經(jīng)過一個(gè)非門送到計(jì)數(shù)器的S1,S2端使計(jì)數(shù)器能夠正常計(jì)數(shù),

24、Y1的信號(hào)即是鎖存信號(hào),送到鎖存器的CP端,Y4的非信號(hào)是清零信號(hào),送到鎖存器的CP端。</p><p><b>  其電路圖如下:</b></p><p><b>  4.3計(jì)數(shù)器</b></p><p>  計(jì)數(shù)器的功能是對(duì)閘門送來的被測(cè)信號(hào)的頻率進(jìn)行測(cè)量計(jì)數(shù)。根據(jù)精度要求,采用6個(gè)十進(jìn)制計(jì)數(shù)器級(jí)聯(lián),構(gòu)成N=10六次方

25、計(jì)數(shù)器。十進(jìn)制計(jì)數(shù)器仍采用74LS160實(shí)現(xiàn)。閘門電路的輸出送到每個(gè)74LS160的CP端,計(jì)數(shù)器在74LS138的后輸出信號(hào)的控制下對(duì)被測(cè)信號(hào)進(jìn)行脈沖送數(shù)。其中計(jì)數(shù)器的清零信號(hào)由74LS138的Y4的非輸出端提供,控制信號(hào)由74LS138的Y0的非輸出端提供,計(jì)數(shù)器輸出結(jié)果后送入鎖存器。</p><p><b>  其電路圖如下;</b></p><p><b

26、>  4.4鎖存電路</b></p><p>  鎖存器的作用是將計(jì)數(shù)器在1s結(jié)束時(shí)的計(jì)數(shù)值進(jìn)行鎖存,使顯示器獲得穩(wěn)定的測(cè)量值。因?yàn)橛?jì)數(shù)器在1s內(nèi)要計(jì)算成千上萬個(gè)輸入脈沖,若不加鎖存器,顯示器上的數(shù)字將隨計(jì)數(shù)器的輸出而變化,不便于讀數(shù)。如圖2所示,1s的計(jì)數(shù)結(jié)束時(shí)。邏輯控制電路發(fā)出的鎖存信號(hào),將計(jì)數(shù)器此時(shí)的值送到譯碼器,因此顯示器的數(shù)字是穩(wěn)定的。</p><p>  選用

27、了三片8D鎖存器74LS273可以完成上述鎖存功能。74LS273的真值表如表1所示。</p><p>  表1 74LS273真值表</p><p>  當(dāng)時(shí)鐘脈沖CP的上升沿到來時(shí),鎖存器的輸出等于輸入,即Q=D。從而將六個(gè)個(gè)十進(jìn)制計(jì)數(shù)器即個(gè)位、十位、百位、千位、萬位、十萬位的輸出值送到鎖存器的輸出端。正脈沖結(jié)束后,無論輸入端D為何值,輸出端Q的狀態(tài)仍然保持原來的狀態(tài)。所以在計(jì)數(shù)周

28、期內(nèi),計(jì)數(shù)器的輸出不會(huì)送到譯碼顯示器。</p><p><b>  鎖存電路如下:</b></p><p><b>  4.5顯示譯碼電路</b></p><p>  本部分電路由譯碼器和顯像管組成。在鎖存器將門控信號(hào)周期內(nèi)的計(jì)數(shù)結(jié)果存儲(chǔ)起來情況下,把所存儲(chǔ)的狀態(tài)送入譯碼器進(jìn)行譯碼,在顯示器上得到穩(wěn)定的計(jì)數(shù)顯示。</

29、p><p><b>  4.6波形整形電路</b></p><p>  為了能測(cè)量不同電平值與波形的周期信號(hào)的頻率,必須對(duì)被測(cè)信號(hào)進(jìn)行放大與整形處理,使之成為能被計(jì)數(shù)器有效識(shí)別的脈沖信號(hào)。信號(hào)放大與波形整形電路的作用即在于此。波形整形一般由與非門邏輯電路構(gòu)成。</p><p>  整形電路由晶體二極管74LS00等組成。與非門74LS00構(gòu)成施密特

30、觸發(fā)器,它輸出信號(hào)進(jìn)行整形,從而得到方波脈沖。</p><p><b>  4.7閘門電路</b></p><p>  本部分電路由與門組成,該電路有兩個(gè)輸入端和一個(gè)輸出端,輸入端的一端,接門控信號(hào),另一端接整形后的被測(cè)方波信號(hào)。閘門是否開通,受門控信號(hào)的控制,當(dāng)門控信號(hào)為高電平“1”時(shí),閘門開啟;而門控信號(hào)為低電平“0”時(shí),閘門關(guān)閉。顯然,只有在閘門開啟的時(shí)間內(nèi),被

31、測(cè)信號(hào)才能通過閘門進(jìn)入計(jì)數(shù)器,計(jì)數(shù)器計(jì)數(shù)時(shí)間就是閘門開啟時(shí)間??梢姡T控信號(hào)的寬度一定時(shí),閘門的輸出值正比于被測(cè)信號(hào)的頻率,通過計(jì)數(shù)顯示系統(tǒng)把閘門的輸出結(jié)果顯示出來,就可以得到被測(cè)信號(hào)的頻率。</p><p><b>  4.8報(bào)警電路</b></p><p>  本設(shè)計(jì)要求用六位數(shù)碼管顯示,最高顯示為999999。因此,超過999999就要求報(bào)警,即當(dāng)十萬位達(dá)到9時(shí)

32、,如果萬位上再來一個(gè)時(shí)鐘脈沖(即進(jìn)位脈沖),則千位計(jì)數(shù)器也會(huì)產(chǎn)生進(jìn)位信號(hào),將此信號(hào)輸出后送給報(bào)警電路的輸入端,驅(qū)動(dòng)報(bào)警電路工作。</p><p><b>  第五章</b></p><p><b>  安裝與調(diào)試過程 </b></p><p>  設(shè)計(jì)好以上每個(gè)模塊的電路后便可畫出整個(gè)數(shù)字頻率計(jì)的電路圖,然后列出所需要的元

33、器件清單。拿到元器件按照整體電路圖安裝好數(shù)字頻率及的電路后,進(jìn)行調(diào)試,首先分模塊進(jìn)行調(diào)試,在每個(gè)模塊調(diào)試正確后,不規(guī)則進(jìn)行聯(lián)調(diào)。因?yàn)檎麄€(gè)電路的分析是瞬態(tài)分析,故總體電路的分析需要較長時(shí)間。</p><p>  5.1電路的安裝過程</p><p>  1.連電路之前要先做好一切準(zhǔn)備,如;線檢查一下面包板是否完好,整理好要用的實(shí)驗(yàn)工具,再將要用的芯片按型號(hào)分類,這樣在連接電路時(shí)又方便又不易出

34、錯(cuò)。</p><p>  2.開始連接電路,電路連接要求導(dǎo)線要橫平豎直并且最好不要交叉,所以要先考慮好電路的布局后根據(jù)電路連接合理的插接芯片,插芯片時(shí)也要注意,要把所有的管腳都插進(jìn)去后要均勻平穩(wěn)的按下去,拔芯片的時(shí)候也要平穩(wěn),以免折斷管腳。</p><p>  3.連電路是要分局部連接,每一個(gè)功能模塊要分開接,這樣連接的電路除了什么錯(cuò)誤就比較容易發(fā)現(xiàn)并改正。</p><

35、p>  5.2電路的調(diào)試過程</p><p>  1.用示波器來檢測(cè)石英晶體振蕩器的輸出波形和頻率,晶振正常的輸出頻率應(yīng)為32768HZ。</p><p>  2.將頻率為32768HZ的信號(hào)送入分頻器,并用示波器檢查各級(jí)分頻器的輸出頻率是否符合設(shè)計(jì)要求。</p><p>  3.用周期為1S的信號(hào)作邏輯控制電路的時(shí)基信號(hào)輸入,用發(fā)光二極管來檢查74LS138

36、的的輸出端從Y0~Y4的輸出端是否正常</p><p>  4.用周期為一秒的信號(hào)送入各計(jì)數(shù)器CP端,用發(fā)光二極管檢查個(gè)計(jì)數(shù)器的正常工作情況。</p><p>  5.最后用同樣的方法 檢測(cè)鎖存器是否正常鎖存,譯碼器能否正常工作是顯示管顯示數(shù)字等。</p><p>  6.分頻,邏輯控制電路,計(jì)數(shù),鎖存和顯示都沒有問題后,調(diào)試完成。</p><p

37、>  5.3電路出現(xiàn)問題及解決方法</p><p>  1.在檢測(cè)面包板狀況中,出現(xiàn)本該相通的地方卻未通的狀況,經(jīng)檢查發(fā)現(xiàn)是由于接線點(diǎn)中間斷開所致,用導(dǎo)線連接急排除。</p><p>  2.在檢測(cè)整體電路時(shí),發(fā)現(xiàn)電路短路的情況,并導(dǎo)致數(shù)碼管燒壞,經(jīng)檢查發(fā)現(xiàn),是有好幾個(gè)芯片壞掉所致,更換新的數(shù)碼管和芯片就解決了問題。在檢測(cè)74LS160向74LS273送數(shù)的時(shí)候,發(fā)現(xiàn)74LS273的

38、輸出始終為0000,測(cè)量各管腳的電壓后,發(fā)現(xiàn)是74LS160與74LS273之間的線少接了兩根,連接上后便能正常工作。去檢測(cè)6個(gè)74LS160芯片的進(jìn)位狀況時(shí),發(fā)現(xiàn)千位到萬位的進(jìn)位無法正常進(jìn)行,經(jīng)過仔細(xì)排查,最后發(fā)現(xiàn)有兩個(gè)74LS160之間的線路連接有問題。</p><p>  3.檢測(cè)脈沖發(fā)生器時(shí),發(fā)現(xiàn)脈沖發(fā)生器的 輸出始終為高電平,這可能是某些元器件損壞的緣故,在對(duì)每個(gè)元件逐一更換后發(fā)現(xiàn)是其中一個(gè)電阻壞掉了,

39、更換該電阻后,秒脈沖發(fā)生器的輸出終于可以在高電平和低電平之間不斷地跳變了。</p><p>  4.在檢測(cè)74LS273的鎖存功能的時(shí),發(fā)現(xiàn)輸入的頻率信號(hào)會(huì)被重復(fù)技術(shù)導(dǎo)致顯示的頻率為原來的兩倍,檢測(cè)后發(fā)現(xiàn)鎖存功能無法實(shí)現(xiàn)的原因是有兩根線沒有連接,在連接后,電路就恢復(fù)正常了。</p><p>  5.最后輸入被測(cè)信號(hào)的頻率測(cè)量,發(fā)現(xiàn)數(shù)碼顯示的數(shù)值是輸入信號(hào)的一般,而有時(shí)候顯示的數(shù)字卻又是正常

40、的。發(fā)生這中不穩(wěn)定的現(xiàn)象時(shí),我們開始初步認(rèn)定是分頻器的問題。在設(shè)計(jì)電路時(shí)候,我們采用的是一片74LS112雙JK觸發(fā)器轉(zhuǎn)換成兩個(gè)T’觸發(fā)器作為分頻器,于是我們最后使用了兩片74LS112雙JK觸發(fā)器,一片74LS112雙JK觸發(fā)器只轉(zhuǎn)換成一個(gè)T’觸發(fā)器,采用了這次改變后,我們發(fā)現(xiàn)顯示的數(shù)值終于正常了。</p><p>  6.整個(gè)連接的時(shí)間花了整整兩天,而調(diào)試卻花了三天的時(shí)間??梢姅?shù)字電路的重點(diǎn)是調(diào)試工作,調(diào)試是

41、設(shè)計(jì)的核心內(nèi)容,這是關(guān)鍵。</p><p><b>  第六章</b></p><p><b>  結(jié)果分析</b></p><p>  經(jīng)過幾天的安裝與調(diào)試,最后終于出結(jié)果,實(shí)現(xiàn)了以下幾個(gè)功能:</p><p>  1)測(cè)量頻率范圍0~999999Hz的頻率;</p><p&g

42、t;  2)最大讀數(shù)999999HZ,閘門信號(hào)的采樣時(shí)間為1s;.</p><p>  3)被測(cè)信號(hào)可以是正弦波、三角波和方波;</p><p>  4)顯示方式為6位十進(jìn)制數(shù)顯示;</p><p>  5)具有超過量程光、聲報(bào)警功能。</p><p>  5)輸入信號(hào)最大幅值可擴(kuò)展。</p><p>  6)測(cè)量誤差

43、小于+-0.1%。</p><p>  存在一定的誤差,原因:線路接口太多,為了保持接線的美觀,沒有很彎曲的線,所以只能弄折線,接線點(diǎn)就會(huì)增加,導(dǎo)致一定的誤差。報(bào)警功能也實(shí)現(xiàn)的比較好,當(dāng)實(shí)驗(yàn)測(cè)量值超過一定的數(shù)值時(shí),電路會(huì)自動(dòng)報(bào)警。二極管也會(huì)自動(dòng)亮。</p><p>  最終試驗(yàn)整體電路圖:</p><p>  分為如下幾個(gè)模塊:整形電路、時(shí)鐘控制電路,計(jì)數(shù)模塊,鎖存

44、模塊,譯碼顯示。</p><p>  輸入信號(hào):時(shí)鐘基準(zhǔn)信號(hào)ip,待測(cè)信號(hào)fry</p><p><b>  第七章</b></p><p><b>  心得及體會(huì)</b></p><p>  首先,經(jīng)過一個(gè)星期的課程設(shè)計(jì),通過對(duì)各種資料的查閱,我發(fā)現(xiàn)了自己動(dòng)手的樂趣。以前所學(xué)的知識(shí)都被局限于課本之

45、中,這次通過課程設(shè)計(jì)我體會(huì)到了,只要勇于探索和吸收,知識(shí)是無邊無境的。</p><p>  以前上課都是上一些最基本的東西而現(xiàn)在卻可以將以前學(xué)的東西作出有實(shí)際價(jià)值的東西。在這個(gè)過程中,我的確學(xué)得到很多在書本上學(xué)不到的東西,如:如何利用現(xiàn)有的元件組裝得到設(shè)計(jì)利用計(jì)算機(jī)來畫圖等等。但也遇到了不少的挫折,有時(shí)遇到了一個(gè)錯(cuò)誤怎么找也找不到原因所在,找了老半天結(jié)果卻是接頭的方向接錯(cuò)了,有時(shí)更是忘接電源了。在學(xué)習(xí)中的小問題在

46、課堂上不可能犯,在動(dòng)手的過程中卻很有可能犯。特別是在接電路時(shí),一不小心就會(huì)犯錯(cuò),而且很不容易檢查出來。但現(xiàn)在回過頭來看,還是挺有成就感的。我的動(dòng)手能力又有了進(jìn)一步的提高,我感到十分的高興.</p><p>  其次,這次課程設(shè)計(jì)中,我鍛煉了自己的自學(xué)能力和解決問題的能力。在這個(gè)過程中遇到了很多問題,比如如何畫圖,如何組織那種專業(yè)語言,上網(wǎng)、到圖書館查找相關(guān)的資料。雖然很費(fèi)勁,但是其樂無窮。通過此次的設(shè)計(jì),我發(fā)現(xiàn)到

47、這個(gè)設(shè)計(jì)對(duì)數(shù)字電子技術(shù)的學(xué)習(xí)要求非常高,我相信在今后的學(xué)習(xí)和工作中它也占據(jù)著非常重要的地位。課堂中的學(xué)習(xí)是遠(yuǎn)遠(yuǎn)不夠的,我們還需要自己吸收和再學(xué)習(xí),不斷的探索和研究。這樣在以后的學(xué)習(xí)工作中才能節(jié)節(jié)進(jìn)步,不斷創(chuàng)新。不但如此,我想要完成一個(gè)任務(wù),不能只局限于自己所學(xué)的知識(shí)中,要各個(gè)方面都有涉獵,提高自己的綜合能力,這樣才能取得長足的進(jìn)步。</p><p>  最后,通過此次的設(shè)計(jì),我還領(lǐng)略到了團(tuán)隊(duì)精神的可貴。我覺得我的

48、的專業(yè)知識(shí)學(xué)習(xí)的不扎實(shí),在設(shè)計(jì)的過程中,會(huì)遇到各種問題。這時(shí)我就會(huì)向同組同學(xué)請(qǐng)教,共同完成這個(gè)設(shè)計(jì)。盡管如此,設(shè)計(jì)中仍會(huì)有我們未發(fā)現(xiàn)的問題,感謝老師的悉心指正。</p><p>  對(duì)我而言,知識(shí)上的收獲重要,精神上的豐收更加可喜。挫折是一份財(cái)富,經(jīng)歷是一份擁有。這次設(shè)計(jì)將成為我學(xué)習(xí)旅途中一個(gè)美好的回憶!</p><p><b>  第八章</b></p>

49、<p><b>  元件清單:</b></p><p><b>  第九章</b></p><p><b>  實(shí)物圖:</b></p><p><b>  顯示數(shù)據(jù)部分圖</b></p><p><b>  整體圖</b&g

50、t;</p><p><b>  附錄A 參考文獻(xiàn)</b></p><p>  1.《數(shù)字電子技術(shù)設(shè)計(jì)應(yīng)用教程》 長沙:中南大學(xué)出版社2003 </p><p><b>  羅桂蛾等主編</b></p><p>  2.《數(shù)字電子技術(shù)基礎(chǔ)》 長沙:中南大學(xué)出版社2009</p

51、><p><b>  陳明義主編</b></p><p>  3. 《電子系統(tǒng)設(shè)計(jì)與實(shí)踐》 北京:電子工業(yè)出版社2003</p><p><b>  楊剛、周群主編</b></p><p>  4. 《電工電子實(shí)驗(yàn)技術(shù)》 西安:西北工業(yè)大學(xué)出版社2005 </p>&l

52、t;p><b>  杜清珍主編</b></p><p>  5. 《中國集成電路大全——TTL集成電路》 北京;國防工業(yè)出版社1989 趙保經(jīng)主編</p><p><b>  成績?cè)u(píng)定</b></p><p>  設(shè)計(jì): </p>

53、<p>  實(shí)驗(yàn): </p><p>  報(bào)告: </p><p>  總成績: </p><p><b>  成績?cè)u(píng)定人</b&

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論