2023年全國(guó)碩士研究生考試考研英語一試題真題(含答案詳解+作文范文)_第1頁
已閱讀1頁,還剩14頁未讀 繼續(xù)免費(fèi)閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請(qǐng)進(jìn)行舉報(bào)或認(rèn)領(lǐng)

文檔簡(jiǎn)介

1、<p><b>  目 錄</b></p><p><b>  1.引 言1</b></p><p>  1.1設(shè)計(jì)的目的1</p><p>  1.2設(shè)計(jì)的基本內(nèi)容1</p><p>  1.3 EDA的介紹1</p><p>  1.3.1 EDA技

2、術(shù)的概念1</p><p>  1.3.2 EDA技術(shù)的特點(diǎn)2</p><p>  1.3.3 EDA設(shè)計(jì)流程2</p><p>  1.4硬件描述語言(VHDL)2</p><p>  1.4.1 VHDL的介紹2</p><p>  1.4.2 VHDL語言的特點(diǎn)3</p><p&g

3、t;<b>  2.總體設(shè)計(jì)4</b></p><p><b>  2.1需求分析4</b></p><p>  2.2汽車尾燈控制器的工作原理4</p><p>  2.3 汽車運(yùn)行狀態(tài)表和總體框圖5</p><p><b>  3.詳細(xì)設(shè)計(jì)6</b></p&

4、gt;<p>  3.1各組成模塊6</p><p>  3.2時(shí)鐘分頻模塊6</p><p>  3.3 汽車尾燈主控模塊6</p><p>  3.4左邊燈控制模塊7</p><p>  3.5右邊燈控制模塊9</p><p>  4.系統(tǒng)仿真與調(diào)試10</p><p&

5、gt;  4.1分頻模塊仿真及分析10</p><p>  4.2汽車尾燈主控模塊仿真及分析10</p><p>  4.3左邊燈控制模塊仿真及分析11</p><p>  4.4右邊燈控制模塊仿真及分析11</p><p>  4.5整個(gè)系統(tǒng)仿真及分析12</p><p>  4.6 總體設(shè)計(jì)電路圖12&

6、lt;/p><p><b>  總結(jié)13</b></p><p><b>  參考文獻(xiàn)14</b></p><p><b>  1.引 言</b></p><p>  隨著社會(huì)的發(fā)展,科學(xué)技術(shù)也在不斷的進(jìn)步,狀態(tài)機(jī)的應(yīng)用越來越廣泛。現(xiàn)代交通越來越擁擠,安全問題日益突出,在這種

7、情況下汽車尾燈控制器的設(shè)計(jì)成為解決交通安全問題一種好的途徑。在本課程設(shè)計(jì)根據(jù)狀態(tài)機(jī)原理[1]實(shí)現(xiàn)了汽車尾燈常用控制。</p><p><b>  1.1設(shè)計(jì)的目的</b></p><p>  本次設(shè)計(jì)的目的就是通過實(shí)踐深入理解計(jì)算機(jī)組成原理,了解EDA技術(shù)[2]并掌握VHDL硬件描述語言的設(shè)計(jì)方法和思想。以計(jì)算機(jī)組成原理為指導(dǎo),通過學(xué)習(xí)的VHDL語言結(jié)合電子電路的設(shè)計(jì)

8、知識(shí)理論聯(lián)系實(shí)際,掌握所學(xué)的課程知識(shí)和基本單元電路的綜合設(shè)計(jì)應(yīng)用。通過對(duì)實(shí)用汽車尾燈控制器[3]的設(shè)計(jì),鞏固和綜合運(yùn)用所學(xué)知識(shí),提高IC設(shè)計(jì)能力,提高分析、解決計(jì)算機(jī)技術(shù)實(shí)際問題的獨(dú)立工作能力。</p><p>  1.2設(shè)計(jì)的基本內(nèi)容</p><p>  根據(jù)計(jì)算機(jī)中狀態(tài)機(jī)原理,利用VHDL設(shè)計(jì)汽車尾燈控制器的各個(gè)模塊,并使用EDA 工具對(duì)各模塊進(jìn)行仿真驗(yàn)證。汽車尾燈控制器的設(shè)計(jì)分為4個(gè)

9、模塊:時(shí)鐘分頻模塊、汽車尾燈主控模塊,左邊燈控制模塊和右邊燈控制模塊。把各個(gè)模塊整合后就形成了汽車尾燈控制器。通過輸入系統(tǒng)時(shí)鐘信號(hào)和相關(guān)的汽車控制信號(hào),汽車尾燈將正確顯示當(dāng)前汽車的控制狀態(tài)。</p><p>  1.3 EDA的介紹 </p><p>  1.3.1 EDA技術(shù)的概念</p><p>  EDA是電子設(shè)計(jì)自動(dòng)化(Electronic Design A

10、utomation)的縮寫,在20世紀(jì)90年代初從計(jì)算機(jī)輔助設(shè)計(jì)(CAD)、計(jì)算機(jī)輔助制造(CAM)、計(jì)算機(jī)輔助測(cè)試(CAT)和計(jì)算機(jī)輔助工程(CAE)的概念發(fā)展而來的。EDA技術(shù)就是以計(jì)算機(jī)為工具,設(shè)計(jì)者在EDA軟件平臺(tái)上,用硬件描述語言HDL完成設(shè)計(jì)文件,然后由計(jì)算機(jī)自動(dòng)地完成邏輯編譯、化簡(jiǎn)、分割、綜合、優(yōu)化、布局、布線和仿真,直至對(duì)于特定目標(biāo)芯片的適配編譯、邏輯映射和編程下載等工作。</p><p>  1

11、.3.2 EDA技術(shù)的特點(diǎn)</p><p>  利用EDA技術(shù)進(jìn)行電子系統(tǒng)的設(shè)計(jì),具有以下幾個(gè)特點(diǎn):① 用軟件的方式設(shè)計(jì)硬件;② 用軟件方式設(shè)計(jì)的系統(tǒng)到硬件系統(tǒng)的轉(zhuǎn)換是由有關(guān)的開發(fā)軟件自動(dòng)完成的;③ 設(shè)計(jì)過程中可用有關(guān)軟件進(jìn)行各種仿真;④ 系統(tǒng)可現(xiàn)場(chǎng)編程,在線升級(jí);⑤ 整個(gè)系統(tǒng)可集成在一個(gè)芯片上,體積小、功耗低、可靠性高。因此,EDA技術(shù)是現(xiàn)代電子設(shè)計(jì)的發(fā)展趨勢(shì)。</p><p>  1.

12、3.3 EDA設(shè)計(jì)流程</p><p>  典型的EDA設(shè)計(jì)流程如下:</p><p>  1、文本/原理圖編輯與修改。首先利用EDA工具的文本或圖形編輯器將設(shè)計(jì)者的設(shè)計(jì)意圖用文本或圖形方式表達(dá)出來。   2、編譯。完成設(shè)計(jì)描述后即可通過編譯器進(jìn)行排錯(cuò)編譯,變成特定的文本格式,為下一步的綜合做準(zhǔn)備。   3、 綜合。將軟件設(shè)計(jì)與硬件的可實(shí)現(xiàn)性掛鉤,是將軟件轉(zhuǎn)化為硬件電路的關(guān)鍵步驟。&l

13、t;/p><p>  4、 行為仿真和功能仿真。利用產(chǎn)生的網(wǎng)表文件進(jìn)行功能仿真,以便了解設(shè)計(jì)描述與設(shè)計(jì)意圖的一致性?! ?、適配。利用FPGA/CPLD布局布線適配器將綜合后的網(wǎng)表文件針對(duì)某一具體的目標(biāo)器件進(jìn)行邏輯映射操作,其中包括底層器件配置、邏輯分割、邏輯優(yōu)化、布局布線。適配報(bào)告指明了芯片內(nèi)資源的分配與利用、引腳鎖定、設(shè)計(jì)的布爾方程描述情況。   6、 功能仿真和時(shí)序仿真。</p><p&

14、gt;  7、 下載。如果以上的所有過程都沒有發(fā)現(xiàn)問題,就可以將適配器產(chǎn)生的下載文件通過FPGA/CPLD下載電纜載入目標(biāo)芯片中。</p><p>  8、 硬件仿真與測(cè)試。</p><p>  1.4硬件描述語言(VHDL)</p><p>  1.4.1 VHDL的介紹</p><p>  VHDL(Very-High-Speed Int

15、egrated Circuit Hardware Description Language)主要用于描述數(shù)字系統(tǒng)的結(jié)構(gòu),行為,功能和接口。除了含有許多具有硬件特征的語句外,VHDL的語言形式和描述風(fēng)格與句法是十分類似于一般的計(jì)算機(jī)高級(jí)語言。VHDL的程序結(jié)構(gòu)特點(diǎn)是將一項(xiàng)工程設(shè)計(jì),或稱設(shè)計(jì)實(shí)體(可以是一個(gè)元件,一個(gè)電路模塊或一個(gè)系統(tǒng))分成外部(或稱可是部分,及端口)和內(nèi)部(或稱不可視部分),既涉及實(shí)體的內(nèi)部功能和算法完成部分。在對(duì)一個(gè)設(shè)計(jì)

16、實(shí)體定義了外部界面后,一旦其內(nèi)部開發(fā)完成后,其他的設(shè)計(jì)就可以直接調(diào)用這個(gè)實(shí)體。這種將設(shè)計(jì)實(shí)體分成內(nèi)外部分的概念是VHDL系統(tǒng)設(shè)計(jì)的基本</p><p>  1.4.2 VHDL語言的特點(diǎn)</p><p>  1.用VHDL代碼而不是用原理圖進(jìn)行設(shè)計(jì),意味著整個(gè)電路板的模型及性能可用計(jì)算機(jī)模擬進(jìn)行驗(yàn)證。</p><p>  2.VHDL元件的設(shè)計(jì)與工藝無關(guān),與工藝獨(dú)立

17、,方便工藝轉(zhuǎn)換。</p><p>  3.VHDL支持各種設(shè)計(jì)方法,自頂向下、自底向上或者混合的都可以。</p><p>  4.可以進(jìn)行從系統(tǒng)級(jí)到邏輯級(jí)的描述,即混合描述。</p><p>  5.VHDL區(qū)別于其他的HDL,已形成標(biāo)準(zhǔn),其代碼在不同的系統(tǒng)中可交換建模。</p><p><b>  2.總體設(shè)計(jì)</b>

18、</p><p><b>  2.1需求分析</b></p><p>  根據(jù)現(xiàn)代交通規(guī)則,汽車尾燈控制器應(yīng)滿足以下基本要求:</p><p>  1.汽車正常使用是指示燈不亮</p><p>  2.汽車右轉(zhuǎn)時(shí),右側(cè)的一盞燈亮</p><p>  3.汽車左轉(zhuǎn)時(shí),左側(cè)的一盞燈亮</p>

19、;<p>  4.汽車剎車時(shí),左右兩側(cè)的指示燈同時(shí)亮</p><p>  5.汽車夜間行駛時(shí),左右兩側(cè)的指示燈同時(shí)一直亮,供照明使用 </p><p>  2.2汽車尾燈控制器的工作原理</p><p>  汽車尾燈控制器就是一個(gè)狀態(tài)機(jī)的實(shí)例。當(dāng)汽車正常行駛時(shí)所有指示燈都不亮;當(dāng)汽車向右轉(zhuǎn)彎時(shí),汽車右側(cè)的指示燈RD1亮;當(dāng)汽車向左側(cè)轉(zhuǎn)彎時(shí),汽車左側(cè)的指

20、示燈LD1亮;當(dāng)汽車剎車時(shí),汽車右側(cè)的指示燈RD2和汽車左側(cè)的指示燈LD2同時(shí)亮;當(dāng)汽車在夜間行駛時(shí),汽車右側(cè)的指示燈RD3和汽車左側(cè)的指示燈LD3同時(shí)一直亮。通過設(shè)置系統(tǒng)的輸入信號(hào):系統(tǒng)時(shí)鐘信號(hào)CLK,汽車左轉(zhuǎn)彎控制信號(hào)LEFT,汽車右轉(zhuǎn)彎控制信號(hào)RIGHT,剎車信號(hào)BRAKE,夜間行駛信號(hào)NIGHT和系統(tǒng)的輸出信號(hào):汽車左側(cè)3盞指示燈LD1、LD2、LD3和汽車右側(cè)3盞指示燈RD1、RD2、</p><p>

21、  RD3實(shí)現(xiàn)以上功能。系統(tǒng)的整體組裝設(shè)計(jì)原理如圖3.1所示。</p><p>  圖2.3整體組裝設(shè)計(jì)原理</p><p>  2.3 汽車運(yùn)行狀態(tài)表和總體框圖</p><p>  汽車尾燈和汽車運(yùn)行狀態(tài)表如表1所示。</p><p>  汽車尾燈和汽車運(yùn)行狀態(tài)表1-1</p><p>  汽車尾燈控制電路設(shè)計(jì)總體框

22、圖如圖1所示:</p><p>  圖1 汽車尾燈控制電路設(shè)計(jì)總體框圖</p><p><b>  3.詳細(xì)設(shè)計(jì)</b></p><p><b>  3.1各組成模塊</b></p><p>  實(shí)現(xiàn)的主要功能是通過開關(guān)控制從而實(shí)現(xiàn)汽車尾燈的點(diǎn)亮方式。汽車尾燈控制器有4個(gè)模塊組成,分別為:時(shí)鐘

23、分頻模塊、汽車尾燈主控模塊,左邊燈控制模塊和右邊燈控制模塊,以下介紹各模塊的詳細(xì)設(shè)計(jì)。</p><p><b>  3.2時(shí)鐘分頻模塊</b></p><p>  整個(gè)時(shí)鐘分頻模塊的工作框圖如圖3.2所示。</p><p>  圖3.2時(shí)鐘分頻模塊工作框圖</p><p>  時(shí)鐘分頻模塊由VHDL程序來實(shí)現(xiàn),下面是其中

24、的一段VHDL代碼:</p><p>  ARCHITECTURE ART OF SZ IS</p><p>  SIGNAL COUNT:STD_LOGIC_VECTOR(7 DOWNTO 0);</p><p><b>  BEGIN</b></p><p>  PROCESS(CLK)</p><

25、;p><b>  BEGIN</b></p><p>  IF CLK'EVENT AND CLK = '1'THEN</p><p>  COUNT <= COUNT + 1;</p><p><b>  END IF;</b></p><p>  END PR

26、OCESS;</p><p>  CP<= COUNT(3);</p><p><b>  END ART;</b></p><p>  3.3 汽車尾燈主控模塊</p><p>  汽車尾燈主控模塊工作框圖如圖3.3所示</p><p>  圖3.3 主控模塊工作框圖</p>

27、<p>  汽車尾燈主控模塊由VHDL程序來實(shí)現(xiàn),下面是其中的一段VHDL代碼:</p><p>  ARCHITECTURE ART OF CTRL IS</p><p><b>  BEGIN </b></p><p>  NIGHT_LED<=NIGHT;</p><p>  BRAKE_LED&

28、lt;=BAKE;</p><p>  PROCESS(LEFT,RIGHT)</p><p>  VARIABLE TEMP:STD_LOGIC_VECTOR(1 DOWNTO 0);</p><p><b>  BEGIN</b></p><p>  TEMP:=LEFT & RIGHT;</p>

29、<p>  CASE TEMP IS</p><p>  WHEN "00" =>LP<='0';RP<='0';LR<='0';</p><p>  WHEN "01" =>LP<='0';RP<='1';LR&l

30、t;='0';</p><p>  WHEN "10" =>LP<='1';RP<='0';LR<='0';</p><p>  WHEN OTHERS=>LP<='0';RP<='0';LR<='1';<

31、/p><p><b>  END CASE;</b></p><p>  END PROCESS;</p><p><b>  END ART;</b></p><p>  3.4左邊燈控制模塊 </p><p>  左邊燈控制模塊的工作框圖如圖3.4所示。</p>

32、<p>  圖3.4左邊燈控制模塊的工作框圖</p><p>  左邊燈控制模塊由VHDL程序來實(shí)現(xiàn),下面是其中的一段VHDL代碼:</p><p>  ARCHITECTURE ART OF LC IS</p><p><b>  BEGIN </b></p><p>  LEDB<=BRAKE;&l

33、t;/p><p>  LEDN<=NIGHT;</p><p>  PROCESS(CLK,LP,LR)</p><p><b>  BEGIN</b></p><p>  IF CLK'EVENT AND CLK = '1' THEN</p><p>  IF(LR =

34、'0')THEN</p><p>  IF(LP = '0')THEN</p><p>  LEDL<='0';</p><p><b>  ELSE</b></p><p>  LEDL<='1';</p><p>&l

35、t;b>  END IF;</b></p><p><b>  ELSE</b></p><p>  LEDL <='0';</p><p><b>  END IF; </b></p><p><b>  END IF;</b><

36、/p><p>  END PROCESS;</p><p><b>  END ART;</b></p><p>  3.5右邊燈控制模塊</p><p>  右邊燈控制模塊的工作框圖如圖3.5所示</p><p>  圖3.5 右邊燈控制模塊的工作框圖</p><p>  右

37、邊燈控制模塊由VHDL程序來實(shí)現(xiàn),下面是其中的一段VHDL代碼:</p><p>  ARCHITECTURE ART OF RC IS</p><p><b>  BEGIN </b></p><p>  LEDB<=BRAKE;</p><p>  LEDN<=NIGHT;</p><

38、p>  PROCESS(CLK,RP,LR)</p><p><b>  BEGIN</b></p><p>  IF CLK'EVENT AND CLK = '1' THEN</p><p>  IF(LR = '0')THEN</p><p>  IF(RP = '

39、;0')THEN</p><p>  LEDR <='0';</p><p><b>  ELSE</b></p><p>  LEDR <= '1';</p><p><b>  END IF;</b></p><p>&

40、lt;b>  ELSE</b></p><p>  LEDR <='0';</p><p><b>  END IF;</b></p><p><b>  END IF;</b></p><p>  END PROCESS;</p><p&

41、gt;<b>  END ART;</b></p><p><b>  4.系統(tǒng)仿真與調(diào)試</b></p><p>  4.1分頻模塊仿真及分析</p><p>  分頻模塊由VHDL程序?qū)崿F(xiàn)后,其仿真圖如圖4.1所示</p><p>  圖4.1 分頻模塊仿真圖</p><p&

42、gt;  對(duì)其仿真圖進(jìn)行仿真分析:如圖所示,首先生成一個(gè)600ns的時(shí)鐘脈沖,通過時(shí)鐘分頻把600ns的脈沖分成一個(gè)40ns的脈沖,實(shí)現(xiàn)了信號(hào)同步。</p><p>  4.2汽車尾燈主控模塊仿真及分析</p><p>  汽車尾燈主控模塊由VHDL程序?qū)崿F(xiàn)后,其仿真圖如圖4.2所示。</p><p>  圖4.2主控模塊時(shí)序仿真圖</p><p

43、>  對(duì)時(shí)序仿真圖進(jìn)行分析:RIGHT,LEFT,NIGHT,BRAKE 為輸入信號(hào),RIGHT為1表示右轉(zhuǎn),LEFT為1表示左轉(zhuǎn),NIGHT為1表示夜間行路,BRAKE為1表示剎車。RP,LP,NIGHT_LED,BRAKE_LED為輸出信號(hào)。如圖所示:當(dāng)RIGHT為1時(shí),產(chǎn)生一個(gè)RP為1的信號(hào)脈沖輸出,當(dāng)LEFT為1時(shí),產(chǎn)生一個(gè)LP為1的信號(hào)脈沖輸出,當(dāng)NIGHT為1時(shí),產(chǎn)生一個(gè)NIGHT_LED為1的信號(hào)脈沖輸出。當(dāng)BRAK

44、E為1時(shí),產(chǎn)生一個(gè)BRAKE_LED為1的信號(hào)脈沖輸出。</p><p>  4.3左邊燈控制模塊仿真及分析</p><p>  左邊燈控制模塊由VHDL程序?qū)崿F(xiàn)后,其仿真圖如下圖4.3所示。</p><p>  對(duì)時(shí)序仿真圖進(jìn)行分析:LP,LR,NIGHT,BRAKE 為輸入信號(hào),LP為1表示左轉(zhuǎn),LR為1表示右轉(zhuǎn),NIGHT為1表示夜間行路,BRAKE為1表示剎

45、車。LEDL,LEDB,LEDN為輸出信號(hào),表示汽車左側(cè)的三盞燈。如圖所示:當(dāng)LP為1時(shí),LEDL輸出為1表示左側(cè)燈亮,當(dāng)BRAKE為1時(shí),LEDB輸出為1表示左側(cè)燈亮,當(dāng)NIGHT為1時(shí),LEDN輸出為1表示左側(cè)燈亮。當(dāng)LR為1時(shí),左側(cè)三盞燈輸出均為0。即沒有燈亮。</p><p>  圖4.3左邊燈控制模塊時(shí)序仿真圖</p><p>  4.4右邊燈控制模塊仿真及分析</p>

46、;<p>  右邊燈控制模塊由VHDL程序?qū)崿F(xiàn)后,其仿真圖如圖4.4所示。</p><p>  圖4.4 右邊燈控制模塊時(shí)序仿真圖</p><p>  對(duì)時(shí)序仿真圖進(jìn)行分析:RP,LR,NIGHT,BRAKE 為輸入信號(hào),LR為1表示左轉(zhuǎn),RP為1表示右轉(zhuǎn),NIGHT為1表示夜間行路,BRAKE為1表示剎車。LEDR,LEDB,LEDN為輸出信號(hào),表示汽車右側(cè)的三盞燈。如圖所

47、示:當(dāng)RP為1時(shí),LEDR輸出為1表示右側(cè)燈亮,當(dāng)BRAKE為1時(shí),LEDB輸出為1表示右側(cè)燈亮,當(dāng)NIGHT為1時(shí),LEDN輸出為1表示右側(cè)燈亮。當(dāng)LR為1時(shí),右側(cè)三盞燈輸出均為0。即沒有燈亮。 </p><p>  4.5整個(gè)系統(tǒng)仿真及分析</p><p>  按圖2.3組裝系統(tǒng)后的仿真圖如下圖4.5所示。</p><p>  對(duì)時(shí)序仿真圖進(jìn)行分析:RIGHT,

48、LEFT,NIGHT,BRAKE 為輸入信號(hào),RIGHT為1表示右轉(zhuǎn),LEFT為1表示左轉(zhuǎn),NIGHT為1表示夜間行路,BRAKE為1表示剎車。RD1,RD2,RD3為輸出信號(hào),表示汽車右側(cè)的三盞燈。LD1,LD2,LD3為輸出信號(hào),表示汽車左側(cè)的三盞燈。如圖所示:當(dāng)RIGHT為1時(shí),RD1輸出為1表示右側(cè)燈亮,當(dāng)LEFT為1時(shí),LD1為輸出為1表示左側(cè)燈亮,當(dāng)NIGHT為1時(shí),LD2,RD2輸出均為1,表示左,右兩側(cè)各有一盞燈亮。當(dāng)B

49、RAKE為1時(shí),LD3,RD3輸出均為1,表示左,右兩側(cè)各有一盞燈亮。</p><p>  圖4.5 整個(gè)系統(tǒng)仿真圖</p><p>  4.6 總體設(shè)計(jì)電路圖</p><p>  圖4.6總體設(shè)計(jì)電路圖</p><p><b>  總結(jié)</b></p><p>  通過兩星期的緊張工作,最后完成

50、了我的設(shè)計(jì)任務(wù)——汽車尾燈控制器的設(shè)計(jì)。通過本次課程設(shè)計(jì)的學(xué)習(xí),我深深的體會(huì)到設(shè)計(jì)課的重要性和目的性。本次設(shè)計(jì)課不僅僅培養(yǎng)了我們實(shí)際操作能力,也培養(yǎng)了我們靈活運(yùn)用課本知識(shí),理論聯(lián)系實(shí)際,獨(dú)立自主的進(jìn)行設(shè)計(jì)的能力。它不僅僅是一個(gè)學(xué)習(xí)新知識(shí)新方法的好機(jī)會(huì),同時(shí)也是對(duì)我所學(xué)知識(shí)的一次綜合的檢驗(yàn)和復(fù)習(xí),使我明白了自己的缺陷所在,從而查漏補(bǔ)缺。希望學(xué)校以后多安排一些類似的實(shí)踐環(huán)節(jié),讓同學(xué)們學(xué)以致用。</p><p>  在

51、設(shè)計(jì)中要求我要有耐心和毅力,還要細(xì)心,稍有不慎,一個(gè)小小的錯(cuò)誤就會(huì)導(dǎo)致結(jié)果的不正確,而對(duì)錯(cuò)誤的檢查要求我要有足夠的耐心,通過這次設(shè)計(jì)和設(shè)計(jì)中遇到的問題,也積累了一定的經(jīng)驗(yàn),對(duì)以后從事集成電路設(shè)計(jì)工作會(huì)有一定的幫助。在應(yīng)用VHDL的過程中讓我真正領(lǐng)會(huì)到了其并行運(yùn)行與其他軟件順序執(zhí)行的差別及其在電路設(shè)計(jì)上的優(yōu)越性。用VHDL硬件描述語言的形式來進(jìn)行數(shù)字系統(tǒng)的設(shè)計(jì)方便靈活,利用EDA軟件進(jìn)行編譯優(yōu)化仿真極大地減少了電路設(shè)計(jì)時(shí)間和可能發(fā)生的錯(cuò)誤

52、,降低了開發(fā)成本,這種設(shè)計(jì)方法必將在未來的數(shù)字系統(tǒng)設(shè)計(jì)中發(fā)揮越來越重要的作用。</p><p><b>  參考文獻(xiàn)</b></p><p>  [1] 王愛英.計(jì)算機(jī)組成與結(jié)構(gòu).北京:清華大學(xué)出版社,2001.2, </p><p>  [2]黃仁欣.EDA技術(shù)實(shí)用教程.北京:清華大學(xué)出版社,2006</p><p>

53、;  [3] 曹昕燕,周鳳臣,聶春燕.EDA技術(shù)實(shí)驗(yàn)與課程設(shè)計(jì).北京:清華大學(xué)出版社,2006.5</p><p>  [4] 楊亦華,延明.數(shù)字電路EDA入門.北京:北京郵電大學(xué)出版社,2003</p><p>  [5] 彭容修,《數(shù)字電子技術(shù)基礎(chǔ)》, 武漢,武漢理工大學(xué)出版社,2005</p><p>  [6] 潘松 ,黃繼業(yè)《EDA技術(shù)與VHDL》,北京

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請(qǐng)下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請(qǐng)聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會(huì)有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫僅提供信息存儲(chǔ)空間,僅對(duì)用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對(duì)用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對(duì)任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請(qǐng)與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時(shí)也不承擔(dān)用戶因使用這些下載資源對(duì)自己和他人造成任何形式的傷害或損失。

評(píng)論

0/150

提交評(píng)論