2023年全國碩士研究生考試考研英語一試題真題(含答案詳解+作文范文)_第1頁
已閱讀1頁,還剩19頁未讀 繼續(xù)免費閱讀

下載本文檔

版權說明:本文檔由用戶提供并上傳,收益歸屬內容提供方,若內容存在侵權,請進行舉報或認領

文檔簡介

1、<p><b>  目錄</b></p><p>  摘要……………………………………………………………………………………1</p><p>  1 緒論 ………………………………………………………………………………2</p><p>  1.1 信號發(fā)生器簡介……………………………………………………………2</p>

2、<p>  1.2 課程設計目的………………………………………………………………2</p><p>  2 課程設計環(huán)境 ……………………………………………………………………2</p><p>  2.1 Quartus II簡介 ……………………………………………………2</p><p>  2.2 VHDL簡介 ………………………………………

3、…………………………3</p><p>  3 信號發(fā)生器原理 …………………………………………………………………4</p><p>  3.1 脈沖發(fā)生器原理 …………………………………………………………4</p><p>  3.2 DDS原理……………………………………………………………………4</p><p>  3.3 D

4、/A轉換器原理……………………………………………………………5</p><p>  3.4 波形產生原理 ……………………………………………………………5 </p><p>  4 信號發(fā)生器的模塊 ………………………………………………………………6</p><p>  4.1 頻率控制塊 ………………………………………………………………6</p&

5、gt;<p>  4.2 波形控制塊 ………………………………………………………………7</p><p>  4.3 LPM_ROM宏功能塊 ………………………………………………………7</p><p>  4.4 三位選擇器 ………………………………………………………………8</p><p>  5 Quartus II 仿真 …………

6、……………………………………………………8</p><p>  5.1 波形仿真圖 ………………………………………………………………9</p><p>  5.2 SignalTap II File 仿真 ……………………………………………10</p><p>  6 心得體會 ………………………………………………………………………11</p>

7、<p>  7 參考文獻 ………………………………………………………………………12</p><p>  附錄A 信號發(fā)生器原理圖………………………………………………………13</p><p>  附錄B SignalTap II File 仿真圖…………………………………………13</p><p>  附錄C 模塊程序……………………………………

8、……………………………14</p><p><b>  摘要</b></p><p>  隨著科學技術的飛速發(fā)展,電子測量技術被廣泛應用在電子、機械、醫(yī)療、</p><p>  測控及航天等各個領域,而電子測量技術要用到各種形式的高質量信號源,因此</p><p>  任意波形發(fā)生器的研制就具有非常重要的現實意義。<

9、;/p><p>  本文便是基于DDS(Direet Digital Synthesis)技術進行任意波形發(fā)生器研制的。要求可以產生正弦波、方波、三角波與鋸齒波等常規(guī)波形,而且能夠產生任意波形,從而滿足研究的需要。具體工作如下:</p><p>  (一)介紹信號發(fā)生器的產生原理,闡述頻率合成技術的各種方式與技術對比情況,并選定直接數字頻率合成技術進行研制。</p><p&

10、gt;  (二)介紹系統(tǒng)的硬件設計構成與功能實現,并對系統(tǒng)部件進行逐一細述。</p><p>  選用單片機作為控制模塊,使用FPGA實現DDS功能作為技術核心,并對外圍</p><p>  電路的設計與接口技術進行分析。</p><p>  (三)講述DDS的工作原理、工作特點與技術指標,并基于EDA技術進行設計,通過使用相位累加器與波形ROM等模塊,實現DDS功

11、能。同時輔以使能模塊與行列式鍵盤,實現各種波形的靈活輸出。</p><p>  (四)給出系統(tǒng)產生的測試數據,并對影響頻譜純度的雜散與噪聲產生的原</p><p><b>  因進行分析。</b></p><p>  關鍵詞:電子測量;任意波形發(fā)生器;DDS;單片機;FPGA</p><p><b>  1

12、緒論</b></p><p>  1.1 信號發(fā)生器簡介</p><p>  信號發(fā)生器又稱信號源或振蕩器,在生產實踐和科技領域中有著廣泛的應用。它能夠產生多種波形,如三角波、鋸齒波、矩形波(含方波)、正弦波等,在電路實驗和設備檢測中具有十分廣泛的用途。例如在通信、廣播、電視系統(tǒng)中,都需要射頻(高頻)發(fā)射,這里的射頻波就是載波,把音頻(低頻)、視頻信號或脈沖信號運載出去,就需要

13、能夠產生高頻的振蕩器。在工業(yè)、農業(yè)、生物醫(yī)學等領域內,如高頻感應加熱、熔煉、淬火、超聲診斷、核磁共振成像等,都需要功率或大或小、頻率或高或低的信號發(fā)生器。</p><p>  本設計采用FPGA來設計制作多功能信號發(fā)生器。該信號發(fā)生器可以產生正弦波、三角波、方波等波形。</p><p>  1.2 課程設計目的</p><p>  1、了解高速DA芯片TLC5602

14、的工作原理。</p><p>  2、了解用DDS的工作原理。</p><p>  3、了解對內部LPM-ROM模塊的調用。</p><p><b>  2 課程設計環(huán)境</b></p><p>  2.1 Quartus II簡介</p><p>  Quartus II 是Altara公司的綜

15、合性PLD/FPGA開發(fā)軟件,支持原理圖、VHDL、VerilogHDL以及AHDL(Altera Hardware Description Language)等多種設計輸入形式,內嵌自有的綜合器以及仿真器,可以完成從設計輸入到硬件配置的完整PLD設計流程。</p><p>  Quartus II可以在XP、Linux以及Unix上使用,除了可以使用Tcl腳本完成設計流程外,提供了完善的用戶圖形界面設計方式。具

16、有運行速度快,界面統(tǒng)一,功能集中,易學易用等特點。</p><p>  Quartus II支持Altera的IP核,包含了LPM/MegaFunction宏功能模塊庫,使用戶可以充分利用成熟的模塊,簡化了設計的復雜性、加快了設計速度。對第三方EDA工具的良好支持也使用戶可以在設計流程的各個階段使用熟悉的第三方EDA工具。</p><p>  此外,Quartus II 通過和DSP Bu

17、ilder工具與Matlab/Simulink相結合,可以方便地實現各種DSP應用系統(tǒng);支持Altera的片上可編程系統(tǒng)(SOPC)開發(fā),集系統(tǒng)級設計、嵌入式軟件開發(fā)、可編程邏輯設計于一體,是一種綜合性的開發(fā)平臺。</p><p>  MaxplusII 作為Altera的上一代PLD設計軟件,由于其出色的易用性而得到了廣泛的應用。目前Altera已經停止了對Maxplus II 的更新支持,Quartus II

18、 與之相比不僅僅是支持器件類型的豐富和圖形界面的改變。Altera在Quartus II 中包含了許多諸如SignalTap II、Chip Editor和RTL Viewer的設計輔助工具,集成了SOPC和HardCopy設計流程,并且繼承了Maxplus II 友好的圖形界面及簡便的使用方法。</p><p>  Altera Quartus II 作為一種可編程邏輯的設計環(huán)境, 由于其強大的設計能力和直觀易

19、用的接口,越來越受到數字系統(tǒng)設計者的歡迎。</p><p>  2.2 VHDL簡介</p><p>  VHDL(Very High Speed Integrated Circuit Hardware Description Language,超高速集成電路硬件描述語言)是一種用來描述數字系統(tǒng)行為和結構的硬件描述語言,被廣泛的運用于描述和仿真各種數字系統(tǒng),小到幾個門,大到許多復雜集成電路

20、相連的系統(tǒng)。</p><p>  VHDL誕生于1982年,是由美國國防部開發(fā)的一種快速設計電路的工具,目前已經成為IEEE(The Institute of Electrical and Electronics Engineers)的一種工業(yè)標準硬件描述語言。相比傳統(tǒng)的電路系統(tǒng)的設計方法,VHDL具有多層次描述系統(tǒng)硬件功能的能力,支持自頂向下(Top to Down)和基于庫(Library Based)的設計

21、的特點,因此設計者可以不必了解硬件結構。從系統(tǒng)設計入手,在頂層進行系統(tǒng)方框圖的劃分和結構設計,在方框圖一級用VHDL對電路的行為進行描述,并進行仿真和糾錯,然后由工業(yè)界開發(fā)的。</p><p>  3 信號發(fā)生器原理</p><p>  由于本系統(tǒng)由多部分構成,在此根據各部分的基本原理,對各個部分進行逐個分析。</p><p>  3.1 脈沖發(fā)生器原理</

22、p><p>  脈沖發(fā)生器就是要產生一個脈沖波形,而可控脈沖發(fā)生器則是要產生一個周期和占空比可變的脈沖波形??煽孛}沖發(fā)生器的實現原理比較簡單,可以簡單的理解為一個計數器對輸入的時鐘信號進行分頻的過程。通過改變計數器的上限值來達到改變周期的目的,通過改變電平翻轉的閾值來達到改變占空比的目的。下面舉個簡單的例子來說明其工作原理。</p><p>  假如有一個計數器T對時鐘分頻,其計數的范圍是從0

23、~N,另取一個 M(0≤M≤N),若輸出為Q,那么Q只要滿足條件</p><p>  時,通過改變N值,即可改變輸出的脈沖波的周期;改變M值,即可改變脈沖波的占空比。這樣輸出的脈沖波的周期和占空比分別為:</p><p><b>  3.2 DDS原理</b></p><p>  本設計采用調用宏單元中得計數器實現實現對波形數據地址的

24、尋找,用三選一數據選擇器實現波形的選擇。在上述的頻率的控制的程序,是基于DDS原理的基礎編程的。需要計算出它的初值后,在送到分頻器中。在傳統(tǒng)的DDS中,頻率控制字和系統(tǒng)時鐘決定了輸出時鐘的頻率。由DDS原理知道,它由累加器和相位寄存器兩部分組成,由外部送入頻率控制字。DDS系統(tǒng)的參考時鐘源通常是一個具有高穩(wěn)定性的晶體振蕩器,整個系統(tǒng)的各個組成部分提供同步時鐘。頻率字(FSW)實際上是相位增量值(二進制編碼),作為相位累加器的累加值。相位

25、累加器在每一個參考時鐘脈沖輸入時,累加一次頻率字,其輸出相應增加一個步長的相位增量。我們只是用來實現波形的一個簡易信號發(fā)生器,所以直接用QuartusII中得宏功能實現。</p><p>  3.3 D/A轉換器原理</p><p>  DA轉換器相對于AD轉換器在時序上要求相對較低,使用比較簡單,在此處不作詳細敘述。本實驗要求使用開發(fā)平臺上現有的并行D/A轉換器TLC5602來產生四種頻

26、率可調的波形:正弦波、方波、三角波。</p><p>  三角波產生的原理比較簡單我們可以采用0-255-0的循環(huán)加減法計數器來實現。方波產生的原理是讓計數器在0和255時各保持輸出半個周期。正弦波的產生比較復雜,一般采用查表法來實現,正弦表值可以用MATLAB,C等程序語言生成。在一個周期取樣點越多則輸出的波形失真度越小,但是點越多存儲正弦波表值所需要的空間就越大,編寫就越復雜。在要求不是很嚴格的情況下取64個

27、點就可以了。</p><p>  正弦波波形數據ROM可以由多種方式實現,如邏輯方式在FPGA中實現,或利用LPM-ROM來實現。相比之下,LPM-ROM實現起來更快,更方便。LPM-ROM模塊只有在含有EAB的器件上才能使用。</p><p>  在這個實驗中我們將這三種波形的數據均放入LPM-ROM內,我們只需要對每種波形的起始地址進行控制即可實現對四種波形的控制輸出。</p&g

28、t;<p>  3.4波形的產生原理</p><p>  在QuartusII開發(fā)環(huán)境下搭建系統(tǒng)模型、仿真及下載,并采用嵌入式邏輯分析儀分析和驗證了實驗結果。該系統(tǒng)可以完成多位頻率控制字的累加,能夠產生正弦波、方波和三角波,具有良好的實時性。</p><p>  DDS可以根據ROM中存儲數據的不同產生多種波形。在QuartusII開發(fā)環(huán)境下搭建DDS系統(tǒng)模型需要訂制波形存儲

29、器ROM,根據所需精度的不同,ROM中存儲的采樣點數也不同。當所需波形數據非常簡單時,可以在QuartusII中定制ROM時直接將數據寫入新建的mif文件,然后保存即可,當所需波形數據較為復雜時,可以通過Matlab來自動生成所需波形的幅度數據,然后再通過調用mif文件來達到預期目標。</p><p>  例如:正弦信號的產生,可由Matlab程序</p><p>  x=linspace

30、(0,2*批,1024);</p><p>  y=127.5*sin(x)+127.5</p><p>  z=round(y)</p><p><b>  生成數據。</b></p><p>  所以要根據實際的需要來綜合考慮存儲單元的個數。將上述指令在Matlab環(huán)境中運行之后就能夠得到所需mif文件。</p

31、><p>  在mif文件生成之后需要將此文件添加進入DDS系統(tǒng)的ROM中,然后進行全局的編譯,編譯通過后就可以進行工程的下載。具體的波形可以通過示波器來分析,或者使用Quartus II自帶的嵌入式邏輯分析儀來分析。在使用嵌入式邏輯分析儀分析和觀察時,采樣信號要根據DDS的時鐘信號來確定,待測信號設定為DDS的輸出信號,當工程下載到FPGA芯片后,待測信號通過USB-BLASTER反饋至嵌入式邏輯分析儀中,選擇不同

32、的數據類型,可以觀察到以十進制數據表示的數字信號或者以實際波形表示的模擬信號。</p><p><b>  信號發(fā)生器的模塊</b></p><p><b>  4.1頻率控制塊</b></p><p>  這種發(fā)生器的信號不是由振蕩器直接產生,而是以高穩(wěn)定度石英振蕩器作為標準頻率源,利用頻率合成技術形成所需之任意頻率的信

33、號,具有與標準頻率源相同的頻率準確度和穩(wěn)定度。輸出信號頻率通常可按十進位數字選擇,最高能達11位數字的極高分辨力。頻率除用手動選擇外還可程控和遠控,也可進行步級式掃頻,適用于自動測試系統(tǒng)。直接式頻率合成器由晶體振蕩、加法、乘法、濾波和放大等電路組成,變換頻率迅速但電路復雜,最高輸出頻率只能達1000兆赫左右。用得較多的間接式頻率合成器是利用標準頻率源通過鎖相環(huán)控制電調諧振蕩器(在環(huán)路中同時能實現倍頻、分頻和混頻),使之產生并輸出各種所需

34、頻率的信號。</p><p>  圖1 頻率控制元件圖</p><p><b>  4.2 波形控制</b></p><p>  它能產生某些特定的周期性時間函數波形(主要是正弦波、方波、三角波、鋸齒波和脈沖波等)信號。頻率范圍可從幾毫赫甚至幾微赫的超低頻直到幾十兆赫。除供通信、儀表和自動控制系統(tǒng)測試用外,還廣泛用于其他非電測量領域。圖2為產生

35、上述波形的方法之一,將積分電路與某種帶有回滯特性的閾值開關電路(如施米特觸發(fā)器)相連成環(huán)路,積分器能將方波積分成三角波。施米特電路又能使三角波上升到某一閾值或下降到另一閾值時發(fā)生躍變而形成方波,頻率除能隨積分器中的RC值的變化而改變外,還能用外加電壓控制兩個閾值而改變。將三角波另行加到由很多不同偏置二極管組成的整形網絡,形成許多不同斜度的折線段,便可形成正弦波。另一種構成方式是用頻率合成器產生正弦波,再對它多次放大、削波而形成方波,再將

36、方波積分成三角波和正、負斜率的鋸齒波等。對這些函數發(fā)生器的頻率都可電控、程控、鎖定和掃頻,儀器除工作于連續(xù)波狀態(tài)外,還能按鍵控、門控或觸發(fā)等方式工作。</p><p>  圖2 波形控制元件圖</p><p>  4.3 LPM_ROM宏功能塊</p><p>  在QuartusII中對Altera宏功能模塊和LPM函數進行例化。</p><p

37、>  Altera推薦使用MegaWizard Plug-In Manager對宏功能模塊進行例化以及建立自定義宏功能模塊變量。此向導將提供一個供自定義和參數化宏功能模塊使用的圖形界面,并確保正確設置所有宏功能模塊的參數。</p><p>  圖3 宏功能元件圖</p><p><b>  三位選擇器</b></p><p>  如圖所

38、示:sel為控制輸入端,假設sel[1..0]=00時,data2x有效,則result[7..0]輸出data2x端。以此類推,sel[1..0]=10時,data1x有效,則result[7..0]輸出data1x端。sel[1..0]=01時,data0x有效,則result[7..0]輸出data0x端。</p><p><b>  圖4 三位選擇器圖</b></p>

39、<p>  整體設計使用時鐘控制頻率的改變代替頻率控制模塊。</p><p>  Quartus II仿真</p><p><b>  波形仿真圖</b></p><p><b>  圖5 三角波仿真圖</b></p><p>  圖6 正弦波撥動開關置0圖</p><

40、p>  圖7 正弦波撥動開關置1圖</p><p><b>  圖8 矩形波仿真圖</b></p><p>  SignalTap II File 仿真</p><p>  在完成設計并編譯工程后,建立SignalTap II (.stp)文件并加入工程、配置STP文件、編譯并下載設計到FPGA、在Quartus II軟件中顯示被測信號

41、的波形、在測試完畢后將該邏輯分析儀從項目中刪除。以下描述設置 SignalTap II 文件的基本流程:---1.設置采樣時鐘。采樣時鐘決定了顯示信號波形的分辨率,它的頻率要大于被測信號的最高頻率,否則無法正確反映被測信號波形的變化。SignalTap II在時鐘上升沿將被測信號存儲到緩存。---2.設置被測信號??梢允褂肗ode Finder 中的 SignalTap II 濾波器查找所有預綜合和布局布線后的SignalTap I

42、I 節(jié)點,添加要觀察的信號。邏輯分析器不可測試的信號包括:邏輯單元的進位信號、PLL的時鐘輸出、JTAG引腳信號、LVDS(低壓差分)信號。---3.配置采樣深度、確定RAM的大小。SignalTap II所能顯示的被測信號波形的時間長度為Tx,計算公式如下:---Tx=N×Ts---N為緩存中存儲的采樣點數,Ts為采樣時鐘的周期。---4.設置buffer acquisition m</p><p

43、><b>  6 心得體會</b></p><p>  通過這次課程設計我能夠熟練地運用 Quartus II,了解了DDS工作的特點和方式掌握了在Quartus II環(huán)境下對FPGA設計,構建DDS的技術,發(fā)揮了任意波形輸出的靈活性。</p><p>  對本設計進行測試實驗,通過具體數據的分析,得知系統(tǒng)的穩(wěn)定度、輸出波形的樣式等具體指標,解決操作過程中存在

44、的問題。在發(fā)揮設計的功效之外,也發(fā)現了系統(tǒng)的一些局限性。</p><p>  介紹了以直接數字頻率合成技術(DDS)為基礎的波形信號發(fā)生器工作原理和設計過程,并在FPGA實驗平臺上設計實現了滿足各功能指標的信號發(fā)生器。系統(tǒng)硬件除需外加濾波整形電路外,其余部分均可在FPGA開發(fā)實驗系統(tǒng)KH-310上集成開發(fā),系統(tǒng)軟件可在Quartus下編寫代碼,實現數據信息處理和控制操作等功能。</p><p&

45、gt;<b>  7 參考文獻</b></p><p>  [1] 劉衛(wèi)國 MATLAB程序設計與應用[M] 高等教育出版社 2006</p><p>  [2] 周登榮.任意波形發(fā)牛器的電路設計與實現[D].成都.電子科技大學.2007</p><p>  [3] 江國強.EDA技術與應用[M].電子工業(yè)出版社.2010</p>

46、<p>  [4] 韓素敏,鄭征.基于VHDL的正弦波發(fā)生器設計[N].陜西工學院學報,2003</p><p><b>  信號發(fā)生器原理圖</b></p><p>  SignalTap II File 仿真圖</p><p><b>  正弦波</b></p><p><b

47、>  三角波</b></p><p><b>  模塊程序</b></p><p><b>  頻率控制塊</b></p><p>  利用按鍵實現頻率的選擇的程序:</p><p>  library ieee;</p><p>  use ieee.st

48、d_logic_1164.all;</p><p>  use ieee.std_logic_arith.all;</p><p>  use ieee.std_logic_unsigned.all;</p><p>  entity clkout is</p><p>  port( Clk : in std_logic;

49、 --時鐘輸入</p><p>  Rst : in std_logic; --復位輸入</p><p>  NU,ND : in std_logic; --輸入:控制頻率的改變</p><p>  --key : in std_logic; --輸入:控制波形的改變</p><p&g

50、t;  Fout : out std_logic --時鐘輸出</p><p><b>  );</b></p><p>  end clkout;</p><p>  --------------------------------------------------------------------</p>

51、<p>  architecture behave of clkout is</p><p>  signal M_Buffer : std_logic_vector(19 downto 0);</p><p>  signal N_Count :std_logic_vector(14 downto 0);</p><p>  signal clkin

52、 : std_logic;</p><p>  signal Clk_Count : std_logic_vector(22 downto 0); --產生一個低速時鐘,用于按鍵判斷</p><p><b>  begin</b></p><p>  process(Clk) --計數器累加</p><p>

53、;<b>  begin</b></p><p>  if(Clk'event and Clk='1') then</p><p>  if(N_Count=M_Buffer+M_Buffer) then N_Count<="000000000000000";</p><p>  else

54、N_Count<=N_Count+1;</p><p><b>  end if;</b></p><p><b>  end if;</b></p><p>  end process;</p><p>  process(Clk) --波形判斷</p><p&

55、gt;  begin if(Clk'event and Clk='1') then</p><p>  if(N_Count<M_Buffer) then Fout<='1';</p><p>  elsif(N_Count>M_Buffer and N_Count<M_Buffer+M_Buffer)</p>

56、;<p>  then Fout<='0';</p><p>  end if; end if;</p><p>  end process;</p><p>  process(Clk)</p><p>  begin if(Clk'event and Clk='1'

57、;) then</p><p>  Clk_Count<=Clk_Count+1;</p><p><b>  end if;</b></p><p>  clkin<=Clk_Count(22);</p><p>  end process;</p><p>  process(cl

58、kin) --頻率及占空比的改變1</p><p><b>  begin</b></p><p>  if(clkin'event and clkin='0') then</p><p>  if(Rst='0') then</p><p>  M_Buffer<=&q

59、uot;00000000000000100000";</p><p>  --N_Buffer<="000010000000000";</p><p>  elsif(NU='0') then M_Buffer<=M_Buffer+1 ;</p><p>  elsif(ND='0

60、9;) then M_Buffer<=M_Buffer-1;</p><p>  end if; end if;</p><p>  end process;</p><p>  end behave;</p><p><b>  波形控制塊</b></p><p>  通過撥

61、動開關實現波形的選擇的程序:</p><p>  library ieee;</p><p>  use ieee.std_logic_1164.all;</p><p>  use ieee.std_logic_unsigned.all;</p><p>  use ieee.std_logic_arith.all;</p>

62、<p>  entity addrkey is</p><p>  port(clk : in std_logic;</p><p>  key : in std_logic_vector(1 downto 0);</p><p>  dout : out integer range 767 downt

63、o 0);</p><p><b>  end ;</b></p><p>  architecture a of addrkey is</p><p>  signal q1: integer range 255 downto 0;</p><p>  signal q2: integer range

64、511 downto 256;</p><p>  signal q3: integer range 767 downto 512;</p><p><b>  begin</b></p><p>  process(clk,key,q1,q2,q3)</p><p>  begin if key="

65、;00" or key="11"then</p><p>  if(clk'event and clk='1')then</p><p>  if q1=255 then q1<=0;</p><p>  else q1 <= q1+1;</p><p>  e

66、nd if; end if;</p><p><b>  dout<=q1;</b></p><p>  elsif key="01" then</p><p>  if(clk'event and clk='1')then</p><p>  if q2=511

67、 then q2<=256;</p><p>  else q2 <= q2+1;</p><p>  end if; end if;</p><p><b>  dout<=q2;</b></p><p>  elsif key="10" then</p>

68、<p>  if(clk'event and clk='1')then</p><p>  if q3=767 then q3<=512;</p><p>  else q3 <= q3+1;</p><p>  end if; end if;</p><p><b>

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯系上傳者。文件的所有權益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網頁內容里面會有圖紙預覽,若沒有圖紙預覽就沒有圖紙。
  • 4. 未經權益所有人同意不得將文件中的內容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫僅提供信息存儲空間,僅對用戶上傳內容的表現方式做保護處理,對用戶上傳分享的文檔內容本身不做任何修改或編輯,并不能對任何下載內容負責。
  • 6. 下載文件中如有侵權或不適當內容,請與我們聯系,我們立即糾正。
  • 7. 本站不保證下載資源的準確性、安全性和完整性, 同時也不承擔用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論