2023年全國碩士研究生考試考研英語一試題真題(含答案詳解+作文范文)_第1頁
已閱讀1頁,還剩42頁未讀, 繼續(xù)免費閱讀

下載本文檔

版權(quán)說明:本文檔由用戶提供并上傳,收益歸屬內(nèi)容提供方,若內(nèi)容存在侵權(quán),請進(jìn)行舉報或認(rèn)領(lǐng)

文檔簡介

1、武漢理工大學(xué)《數(shù)字電子技術(shù)》課程設(shè)計說明書附件1:學(xué)號:號:0121109310631課程設(shè)計題目出租車計價器的設(shè)計與實現(xiàn)出租車計價器的設(shè)計與實現(xiàn)學(xué)院信息工程學(xué)院信息工程學(xué)院專業(yè)通信工程通信工程班級姓名指導(dǎo)教師指導(dǎo)教師20132013年7月5日武漢理工大學(xué)《數(shù)字電子技術(shù)》課程設(shè)計說明書目錄目錄_Toc360653342摘要..........................................................

2、.........................I1系統(tǒng)方案設(shè)計與論證....................................................................11.1系統(tǒng)方案設(shè)計....................................................................11.2系統(tǒng)方案論證............................

3、........................................22系統(tǒng)原理與設(shè)計........................................................................32.1系統(tǒng)硬件設(shè)計....................................................................32.1.1單片機(jī)控制模塊設(shè)計......

4、..................................................32.1.1.1時鐘電路...........................................................62.1.1.2復(fù)位電路...........................................................72.1.2鍵盤調(diào)整模塊設(shè)計..............

5、...........................................92.1.3數(shù)據(jù)顯示模塊設(shè)計.........................................................92.2系統(tǒng)軟件設(shè)計...................................................................122.2.1系統(tǒng)模塊..................

6、...............................................122.2.2中斷模塊.................................................................132.2.2.1里程計數(shù)中斷模塊.................................................132.2.2.2中途等待中斷模塊..............

7、...................................142.2.3總價計算模塊.............................................................152.2.4鍵盤調(diào)整模塊............................................................162.2.5數(shù)據(jù)顯示模塊.........................

8、...................................163電路仿真結(jié)果.........................................................................173.1仿真環(huán)境簡介..................................................................173.2仿真過程記錄...............

9、...................................................183.2.1起步價計費仿真..........................................................183.2.2階梯單價計費仿真.........................................................183.2.3暫停計費仿真............

10、................................................193.2.4重新計費仿真............................................................203.3仿真結(jié)果分析..................................................................204實物的制作與調(diào)試...........

11、..........................................................224.1實物的制作....................................................................224.2電路的調(diào)試過程................................................................234.2.1起

12、步計費調(diào)試............................................................234.2.2階梯單價計費調(diào)試........................................................244.2.3暫停計費調(diào)試............................................................254.2.4重新計費

13、調(diào)試............................................................264.3電路的調(diào)試結(jié)果分析............................................................264.3調(diào)試過程中遇到的問題..........................................................275心得體會....

14、.........................................................................286參考文獻(xiàn).............................................................................29附錄...........................................................

15、.......................30附錄1系統(tǒng)整體電路圖..............................................................30附錄2元件清單....................................................................31附錄3單片機(jī)程序....................................

溫馨提示

  • 1. 本站所有資源如無特殊說明,都需要本地電腦安裝OFFICE2007和PDF閱讀器。圖紙軟件為CAD,CAXA,PROE,UG,SolidWorks等.壓縮文件請下載最新的WinRAR軟件解壓。
  • 2. 本站的文檔不包含任何第三方提供的附件圖紙等,如果需要附件,請聯(lián)系上傳者。文件的所有權(quán)益歸上傳用戶所有。
  • 3. 本站RAR壓縮包中若帶圖紙,網(wǎng)頁內(nèi)容里面會有圖紙預(yù)覽,若沒有圖紙預(yù)覽就沒有圖紙。
  • 4. 未經(jīng)權(quán)益所有人同意不得將文件中的內(nèi)容挪作商業(yè)或盈利用途。
  • 5. 眾賞文庫僅提供信息存儲空間,僅對用戶上傳內(nèi)容的表現(xiàn)方式做保護(hù)處理,對用戶上傳分享的文檔內(nèi)容本身不做任何修改或編輯,并不能對任何下載內(nèi)容負(fù)責(zé)。
  • 6. 下載文件中如有侵權(quán)或不適當(dāng)內(nèi)容,請與我們聯(lián)系,我們立即糾正。
  • 7. 本站不保證下載資源的準(zhǔn)確性、安全性和完整性, 同時也不承擔(dān)用戶因使用這些下載資源對自己和他人造成任何形式的傷害或損失。

評論

0/150

提交評論